The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Giuseppe Scotti, Alessandro Trifiletti, Gaetano Palumbo A Novel 0.6V MCML D-Latch Topology exploiting Dynamic Body Bias Threshold Lowering. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Abdullah Alshehri 0003, Mohammed Al-Qadasi, Abdullah S. Almansouri, Talal Al-Attar, Hossein Fariborzi StrongARM Latch Comparator Performance Enhancement by Implementing Clocked Forward Body Biasing. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Law Foo Kui, M. Rakib Uddin, Nur Musyiirah, Nurazmina Lingas Design, Simulation, and Analysis of a Digital Electro-optic SR NOR Latch. Search on Bibsonomy TENCON The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Joonyeong Kim, Byung-Kil Han, Dong-Soo Kwon 2D Braille Display Module Using Rotating Latch Structured Voice Coil Actuator. Search on Bibsonomy AsiaHaptics The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Hector J. Quintero, Manuel Jiménez Través, Maria J. Avedillo, Juan Núñez 0002 Inverting Versus Non-Inverting Dynamic Logic for Two-Phase Latch-free Nanopipelines. Search on Bibsonomy SMACD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Bodo Selmke, Kilian Zinnecker, Philipp Koppermann, Katja Miller, Johann Heyszl, Georg Sigl Locked out by Latch-up? An Empirical Study on Laser Fault Injection into Arm Cortex-M Processors. Search on Bibsonomy FDTC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Kamlesh Singh, Omar Alejandro Rodriguez Rosas, Hailong Jiao, Jos Huisken, José Pineda de Gyvez Multi-Bit Pulsed-Latch Based Low Power Synchronous Circuit Design. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Soheil Ziabakhsh, Ghyslain Gagnon, Gordon W. Roberts An All-Digital High-Resolution Programmable Time-Difference Amplifier Based on Time Latch. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Min-Su Kim, Ah-Reum Kim, Yong-geol Kim, Chunghee Kim, Dong-Yeop Kim, Jong-Woo Kim, Daeseong Lee, Hyun Lee, Jungyul Pyo, Youngmin Shin, Jae Cheol Son Contention-Free High-Speed Clock-Gate based on Set/Reset Latch for Wide Voltage Scaling. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Qian He, Aibin Yan, Chaoping Lai, Yinlei Zhang, Chunming Liu, Zhile Chen, Zhen Wu, Jie Cui 0004, Huaguo Liang Novel low cost and DNU online self-recoverable RHBD latch design for nanoscale CMOS. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhile Chen, Zhengfeng Huang, Xiangsheng Fang, Maoxiang Yi, Jing Guo Radiation Hardening by Design of a Novel Double-Node-Upset-Tolerant Latch Combined with Layout Technique. Search on Bibsonomy ITC-Asia The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Chien-Tung Liu, Zhe-Wei Chang, Shih-Nung Wei, Jinn-Shyan Wang, Tay-Jyi Lin A Low-Area, Low-Power, and Low-Leakage Error-Detecting Latch for Timing-Error Resilient System Designs. Search on Bibsonomy SoCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yuta Yamamoto, Kazuteru Namba Construction of Latch Design with Complete Double Node Upset Tolerant Capability Using C-Element. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Joonseop Sim, Mohsen Imani, Woojin Choi, Yeseong Kim, Tajana Rosing LUPIS: Latch-up based ultra efficient processing in-memory system. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Kamlesh Singh, Hailong Jiao, Jos Huisken, Hamed Fatemi, José Pineda de Gyvez Low power latch based design with smart retiming. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Anant Kulkarni, Brajesh Kumar Kaushik, Zeljko Zilic Implementation and Analysis of Spin-Torque-Based Reversible D-Latch. Search on Bibsonomy CCECE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Xinchao Shang, Weiwei Shan, Jiaming Xu, Minyi Lu, Yiming Xiang, Longxing Shi, Jun Yang 0006 A 0.46V-1.1V Transition-Detector with In-Situ Timing-Error Detection and Correction Based on Pulsed-Latch Design in AES Accelerator. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Zhao Huang, Chen Zhao, Quan Wang 0006, Zhenyi Wang Implementation and Analysis of Improved RO PUFs with Latch Structure. Search on Bibsonomy NaNA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Wei Jin 0004, Seongjong Kim, Weifeng He, Zhigang Mao, Mingoo Seok Near- and Sub-Vt Pipelines Based on Wide-Pulsed-Latch Design Techniques. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhengfeng Huang, Maoxiang Yi, Xiumin Xu, Yiming Ouyang, Huaguo Liang Double-Node-Upset-Resilient Latch Design for Nanoscale CMOS Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Yiping Zhang, Ziou Wang, Canyan Zhu, Lijun Zhang 28-nm Latch-Type Sense Amplifier Modification for Coupling Suppression. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Huaguo Liang, Xin Li, Zhengfeng Huang, Aibin Yan, Xiumin Xu Highly Robust Double Node Upset Resilient Hardened Latch Design. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Ghobad Zarrinchian, Morteza Saheb Zamani Latch-Based Structure: A High Resolution and Self-Reference Technique for Hardware Trojan Detection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Bilal Habib, Jens-Peter Kaps, Kris Gaj Implementation of efficient SR-Latch PUF on FPGA and SoC devices. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhengfeng Huang, Xiangsheng Fang, Yiming Ouyang, Honghui Deng Single event double-upset fully immune and transient pulse filterable latch design for nanoscale CMOS. Search on Bibsonomy Microelectron. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Bing Li 0005, Ning Chen 0006, Ulf Schlichtmann Statistical Timing Analysis for Latch-Controlled Circuits with Reduced Iterations and Graph Transformations. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
16Haibin Wang, Ao Sheng, Shiqi Wang 0010, Jinshun Bi, Li Chen 0001, Xiaofeng Liu SEU reduction effectiveness of common centroid layout in differential latch at 130-nm CMOS technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Pei Liu, Tian Zhao, Feng Liang, Jizhong Zhao, Peilin Jiang A power-delay-product efficient and SEU-tolerant latch design. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Huaguo Liang, Yingchun Lu, Zhengfeng Huang A transient pulse dually filterable and online self-recoverable latch. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hao Cai, You Wang 0002, Lirida A. B. Naviner, Weisheng Zhao Novel Pulsed-Latch Replacement in Non-Volatile Flip-Flop Core. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hamzeh Ahangari, Ihsen Alouani, Özcan Özturk 0001, Smaïl Niar Reconfigurable Hardened Latch and Flip-Flop for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Sarah Azimi, Luca Sterpone Micro Latch-Up Analysis on Ultra-Nanometer VLSI Technologies: A New Monte Carlo Approach. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Tomohiro Yoshihara, Haruo Yokota A Concurrency Control Protocol that Selects Accessible Replicated Pages to Avoid Latch Collisions for B-Trees in Manycore Environments. Search on Bibsonomy DEXA (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jose M. Faleiro, Daniel J. Abadi Latch-free Synchronization in Database Systems: Silver Bullet or Fool's Gold? Search on Bibsonomy CIDR The full citation details ... 2017 DBLP  BibTeX  RDF
16Wenqiang Wang, Peiquan Jin, Shouhong Wan, Lihua Yue LFLogging: A Latch-Free Logging Scheme for PCM-Based Big Data Management Systems. Search on Bibsonomy DASFAA Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Wael M. Elsharkasy, Hasan Erdem Yantir, Amin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi Efficient pulsed-latch implementation for multiport register files: work-in-progress. Search on Bibsonomy CASES The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Tomonori Tanaka, Kosuke Furuichi, Hiromu Uemura, Ryosuke Noguchi, Natsuyuki Koda, Koki Arauchi, Daichi Omoto, Hiromi Inaba, Keiji Kishine, Shinsuke Nakano, Masafumi Nogawa, Hideyuki Nosaka 25-Gb/s clock and data recovery IC using latch-load combined with CML buffer circuit for delay generation with 65-nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Darjn Esposito, Antonio G. M. Strollo, Massimo Alioto Power-precision scalable latch memories. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Robert Schmidt 0003, Alberto García Ortiz, Görschwin Fey Temporal redundancy latch-based architecture for soft error mitigation. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Yongming Ding, Wei Jin 0004, Guanghui He, Weifeng He Short path padding with multiple-Vt cells for wide-pulsed-latch based circuits at ultra-low voltage. Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Saki Tajima, Nozomu Togawa, Masao Yanagisawa, Youhua Shi Soft error tolerant latch designs with low power consumption (invited paper). Search on Bibsonomy ASICON The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Monalisa Das, Alak Majumder, Abir J. Mondal, Bidyut K. Bhattacharyya A 90nm Novel MUX-Dual Latch Design Approach for Gigascale Serializer Application. Search on Bibsonomy iNIS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhengfeng Huang, Maoxiang Yi, Jie Cui 0004, Huaguo Liang HLDTL: High-performance, low-cost, and double node upset tolerant latch design. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Kao-Chi Lee, Kai-Chiang Wu, Chih-Ying Tsai, Mango Chia-Tso Chao Fast WAT test structure for measuring Vt variance based on latch-based comparators. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Venkatesh Mani Tripathi, Sandeep Mishra, Jyotishman Saikia, Anup Dandapat A Low-Voltage 13T Latch-Type Sense Amplifier with Regenerative Feedback for Ultra Speed Memory Access. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Manish Gupta, Abhinav Kranti Suppressing Single Transistor Latch Effect in Energy Efficient Steep Switching Junctionless MOSFETs. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Aikaterini Papadopoulou, Vladimir M. Milovanovic, Borivoje Nikolic A low-voltage low-offset dual strong-arm latch comparator. Search on Bibsonomy A-SSCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Vivek Tyagi, Mohammad S. Hashmi, Ganesh Raj, Vikas Rana A 10 MHz, 42 ppm/ °C, 69 μW PVT Compensated Latch Based Oscillator in BCD9S Technology for PCM. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hsiao-Lun Wang, Minghe Zhang, Peter A. Beerel Retiming of Two-Phase Latch-Based Resilient Circuits. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Naoya Torii, Dai Yamamoto, Masahiko Takenaka, Tsutomu Matsumoto Experimental Evaluation on the Resistance of Latch PUFs Implemented on ASIC against FIB-Based Invasive Attacks. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Abdel Martinez Alonso, Masaya Miyahara, Akira Matsuzawa A 10-bit 6.8-GS/s Direct Digital Frequency Synthesizer Employing Complementary Dual-Phase Latch-Based Architecture. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Anjan Kumar Pudi N. S, Maryam Shojaei Baghini Robust Soft Error Tolerant CMOS Latch Configurations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Christopher Parker, Matthew Daiter, Kareem Omar, Gil Levi, Tal Hassner The CUDA LATCH Binary Descriptor: Because Sometimes Faster Means Better. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16Jin-Fa Lin, Ming-Yan Tsai, Kun-Sheng Li, Yun-Rong Jiang, Yu-Shiang Cheng Low Power SR-Latch Based Flip-Flop Design Using 21 Transistors. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Mirko Scholz, Shih-Hung Chen, Geert Hellings, Dimitri Linten Impact of on- and off-chip protection on the transient-induced latch-up sensitivity of CMOS IC. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Shuang Fan, Bingxu Ning, Zhiyuan Hu, Zhengxuan Zhang, Dawei Bi, Chao Peng, Lei Song, Lihua Dai Bias dependence of TID induced single transistor latch for 0.13 μm partially depleted SOI input/output NMOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Huaguo Liang, Zhengfeng Huang, Cuiyun Jiang, Yiming Ouyang, Xuejun Li An SEU resilient, SET filterable and cost effective latch in presence of PVT variations. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ramy N. Tadros, Weizhe Hua, Matheus T. Moreira, Ney Laert Vilar Calazans, Peter A. Beerel A Low-Power Low-Area Error-Detecting Latch for Resilient Architectures in 28-nm FDSOI. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Naoya Torii, Dai Yamamoto, Tsutomu Matsumoto Evaluation of Latch-based Physical Random Number Generator Implementation on 40 nm ASICs. Search on Bibsonomy TrustED@CCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Leïla Khanfir, Jaouhar Mouine A new latch comparator with tunable hysteresis. Search on Bibsonomy ICM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Zhengfeng Huang, Xiangsheng Fang, Xiaolin Xu, Huaguo Liang Novel Low Cost and Double Node Upset Tolerant Latch Design for Nanoscale CMOS Technology. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jotham Vaddaboina Manoranjan, Kenneth S. Stevens Reconfigurable circuit for implementation of family of 4-phase latch protocols. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Akanksha Singh, Ayushi Marwah, Shyam Akashe Novel Gating Technique in D-Latch for Low Power Application. Search on Bibsonomy ICTCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Marc Pons 0001, Thanh-Chau Le, Claude Arm, Daniel Séverac, Jean-Luc Nagel, Marc-Nicolas Morgan, Stéphane Emery Sub-threshold latch-based icyflex2 32-bit processor with wide supply range operation. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Chih-Cheng Hsu, Mark Po-Hung Lin, Masanori Hashimoto Latch Clustering for Minimizing Detection-to-Boosting Latency Toward Low-Power Resilient Circuits. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Weizhe Hua, Ramy N. Tadros, Peter A. Beerel Low Area, Low Power, Robust, Highly Sensitive Error Detecting Latch for Resilient Architectures. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Abhishek Roy 0002, Benton H. Calhoun Exploring circuit robustness to power supply variation in low-voltage latch and register-based digital systems. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Poorna Marthi, Nazir Hossain, Jean-François Millithaler, Martin Margala A new level sensitive D Latch using Ballistic nanodevices. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Naoya Torii, Dai Yamamoto, Tsutomu Matsumoto Evaluation of Latch-Based PUFs Implemented on 40 nm ASICs. Search on Bibsonomy CANDAR The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Adam Watkins, Spyros Tragoudas A Highly Robust Double Node Upset Tolerant latch. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Hiroki Ueno, Kazuteru Namba Construction of a soft error (SEU) hardened Latch with high critical charge. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Amir Ardakani, Shahriar Baradaran Shokouhi A secure and area-efficient FPGA-based SR-Latch PUF. Search on Bibsonomy IST The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Gil Levi, Tal Hassner LATCH: Learned arrangements of three patch codes. Search on Bibsonomy WACV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Christopher Parker, Matthew Daiter, Kareem Omar, Gil Levi, Tal Hassner The CUDA LATCH Binary Descriptor: Because Sometimes Faster Means Better. Search on Bibsonomy ECCV Workshops (3) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yiping Zhang, Ziou Wang, Canyan Zhu, Lijun Zhang, Aiming Ji, Lingfeng Mao 0001 28nm latch type sense amplifier coupling effect analysis. Search on Bibsonomy ISIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Wei Jin 0004, Seongjong Kim, Weifeng He, Zhigang Mao, Mingoo Seok A 0.35V 1.3pJ/cycle 20MHz 8-bit 8-tap FIR core based on wide-pulsed-latch pipelines. Search on Bibsonomy A-SSCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Disha Arora, Anil Kumar Gundu, Mohammad S. Hashmi A high speed low voltage latch type sense amplifier for non-volatile memory. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16A. Purushothaman Analysis of regeneration time constant of dynamic latch using Adomian Decomposition method. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jong-In Kim, Dong-Ryeol Oh, Dong-Shin Jo, Ba-Ro-Saim Sung, Seung-Tak Ryu A 65 nm CMOS 7b 2 GS/s 20.7 mW Flash ADC With Cascaded Latch Interpolation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Aibin Yan, Huaguo Liang, Zhengfeng Huang, Cuiyun Jiang, Maoxiang Yi A Self-Recoverable, Frequency-Aware and Cost-Effective Robust Latch Design for Nanoscale CMOS Technology. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ramin Rajaei, Mahmoud Tabandeh, Mahdi Fazeli Single Event Multiple Upset (SEMU) Tolerant Latch Designs in Presence of Process and Temperature Variations. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang, Huaguo Liang, Sybille Hellebrand A High Performance SEU Tolerant Latch. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yuanqing Li, Haibin Wang, Suying Yao, Xi Yan, Zhiyuan Gao, Jiangtao Xu Double Node Upsets Hardened Latch Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Gil Levi, Tal Hassner LATCH: Learned Arrangements of Three Patch Codes. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
16Qi Jiang, Huihui Yuan, Yang Wang, Xiangliang Jin Design and analyze of transient-induced latch-up in RS485 transceiver with on-chip TVS. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Chunhua Qi, Liyi Xiao, Jing Guo 0004, Tianqi Wang Low cost and highly reliable radiation hardened latch design in 65 nm CMOS technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yonghong Tao, Andreas Hierlemann, Yong Lian 0001 A Frequency-Domain Analysis of Latch Comparator Offset due to Load Capacitor Mismatch. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Byungkyu Song, Taehui Na, Jisu Kim, Jung Pill Kim, Seung-Hyuk Kang, Seong-Ook Jung Latch Offset Cancellation Sense Amplifier for Deep Submicrometer STT-RAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Nikolaos Eftaxiopoulos, Nicholas Axelos, Georgios Zervakis 0001, Kostas Tsoumanis, Kiamal Z. Pekmestzi Delta DICE: A Double Node Upset resilient latch. Search on Bibsonomy MWSCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Nikolaos Eftaxiopoulos, Nicholas Axelos, Kiamal Z. Pekmestzi DONUT: A Double Node Upset Tolerant Latch. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Anush Bekal, Rohit Joshi, Manish Goswami, Babu R. Singh, Ashok Srivatsava An Improved Dynamic Latch Based Comparator for 8-Bit Asynchronous SAR ADC. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Taiki Uemura, Takashi Kato, Hideya Matsuyama, Masanori Hashimoto Soft error immune latch design for 20 nm bulk CMOS. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Tingting Pang, Wang Kang 0001, Yi Ran, Youguang Zhang, Weifeng Lv, Weisheng Zhao Nonvolatile radiation hardened DICE latch. Search on Bibsonomy NVMTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Bilal Habib, Jens-Peter Kaps, Kris Gaj Efficient SR-Latch PUF. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Katerina Katsarou, Yiorgos Tsiatouhas Soft error immune latch under SEU related double-node charge collection. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Tse-Ching Wu, Chien-Ju Chen, Yin-Nien Chen, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Evaluation of energy-efficient latch circuits with hybrid tunneling FET and FinFET devices for ultra-low-voltage applications. Search on Bibsonomy SoCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Abdel Martinez Alonso, Masaya Miyahara, Akira Matsuzawa A novel direct digital frequency synthesizer employing complementary dual-phase latch-based architecture. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Saki Tajima, Youhua Shi, Nozomu Togawa, Masao Yanagisawa A low-power soft error tolerant latch scheme. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Arvind Kumar Sharma, Yogendra Sharma, Sudeb Dasgupta, Bulusu Anand Efficient static D-latch standard cell characterization using a novel setup time model. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jae-Won Jang, Swaroop Ghosh Design and analysis of novel SRAM PUFs with embedded latch for robustness. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license