The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yu-Ming Yang, Iris Hui-Ru Jiang, Sung-Ting Ho PushPull: short path padding for timing error resilient circuits. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rimon Ikeno, Takashi Maruyama, Satoshi Komatsu, Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada A structured routing architecture and its design methodology suitable for high-throughput electron beam direct writing with character projection. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hamid Shojaei, Azadeh Davoodi, Jeffrey T. Linderoth Planning for local net congestion in global routing. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wen-Hao Liu, Cheng-Kok Koh, Yih-Lang Li Case study for placement solutions in ispd11 and dac12 routability-driven placement contests. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, Yao-Wen Chang Simultaneous OPC- and CMP-aware routing based on accurate closed-form modeling. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Logan M. Rakai, Amin Farshidi, Laleh Behjat, David T. Westwick Buffer sizing for clock networks using robust geometric programming considering variations in buffer sizes. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hung-Ming Chen On the way to practical tools for beyond die codesign and integration. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Narayan Srinivasa A compiler for scalable placement and routing of brain-like architectures. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kan Wang, Huaxi Wang, Sheqin Dong Escape routing of mixed-pattern signals based on staggered-pin-array PCBs. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Florentin Dartu, Qiuyang Wu To do or not to do hierarchical timing? Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cheng-Kok Koh, Cliff C. N. Sze (eds.) International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013 Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shyam Ramji Challenges in managing timing and wiring contracts during hierarchical floorplanning and design closure. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jordi Cortadella, Javier de San Pedro, Nikita Nikitin, Jordi Petit Physical-aware system-level design for tiled hierarchical chip multiprocessors. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chang-Cheng Tsai, Yiyu Shi 0001, Guojie Luo, Iris Hui-Ru Jiang FF-bond: multi-bit flip-flop bonding at placement. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yang Song, Hao Yu 0001, Sai Manoj Pudukotai Dinakarrao, Guoyong Shi SRAM dynamic stability verification by reachability analysis with consideration of threshold voltage variation. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Robert Fischbach, Johann Knechtel, Jens Lienig Utilizing 2D and 3D rectilinear blocks for efficient IP reuse and floorplanning of 3D-integrated systems. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Liam Madden Heterogeneous 3-d stacking, can we have the best of both (technology) worlds? Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ruchir Puri Opportunities and challenges for high performance microprocessor designs and design automation. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cliff C. N. Sze, Laleh Behjat, Nikhil Jayakumar, Atul Walimbe, Gregory Ford, Mark Zwolinski, Harish Dangat, Giriraj Kakol ISPD 2013 expert designer/user session (eds). Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vidyamani Parkhe Variability aware hierarchical implementation of big chips. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xiang Qiu, Malgorzata Marek-Sadowska, Wojciech Maly Designing VeSFET-based ICs with CMOS-oriented EDA infrastructure. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yoji Kajitani Coding the objects in place and route CAD. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jianchang Ao, Sheqin Dong, Song Chen 0001, Satoshi Goto Delay-driven layer assignment in global routing under multi-tier interconnect structure. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Li-C. Wang Data mining in design and test processes: basic principles and promises. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Muhammet Mustafa Ozdal, Chirayu Amin, Andrey Ayupov, Steven M. Burns, Gustavo R. Wilke, Cheng Zhuo The ISPD-2012 discrete cell sizing contest and benchmark suite. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Janet L. Olson Synthesis for advanced nodes: an industry perspective. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Myung-Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji MAPLE: multilevel adaptive placement for mixed-size designs. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kwang-Ting (Tim) Cheng, Dmitri B. Strukov 3D CMOS-memristor hybrid circuits: devices, integration, architecture, and applications. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cheng-Wu Lin, Cheng-Chung Lu, Jai-Ming Lin, Soon-Jyh Chang Routability-driven placement algorithm for analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan A size scaling approach for mixed-size placement. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zigang Xiao, Yuelin Du, Hongbo Zhang 0001, Martin D. F. Wong A polynomial time exact algorithm for self-aligned double patterning layout decomposition. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Burn J. Lin Lithography till the end of Moore's law. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wen-Hao Liu, Yih-Lang Li Optimizing the antenna area and separators in layer assignment of multi-layer global routing. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fang Gong, Sina Basir-Kazeruni, Lara Dolecek, Lei He 0001 A fast estimation of SRAM failure rate using probability collectives. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Patrick Groeneveld Reality-driven physical synthesis. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bing Shi, Ankur Srivastava 0001 TSV-constrained micro-channel infrastructure design for cooling stacked 3D-ICs. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ming-Chao Lee, Yiyu Shi 0001, Yu-Guang Chen, Diana Marculescu, Shih-Chieh Chang Efficient on-line module-level wake-up scheduling for high performance multi-module designs. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shao-Yun Fang, Wei-Yu Chen, Yao-Wen Chang Graph-based subfield scheduling for electron-beam photomask fabrication. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Samuel I. Ward, Myung-Chul Kim, Natarajan Viswanathan, Zhuo Li 0001, Charles J. Alpert, Earl E. Swartzlander Jr., David Z. Pan Keep it straight: teaching placement how to better handle designs with datapaths. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jhih-Rong Gao, David Z. Pan Flexible self-aligned double patterning aware detailed routing with prescribed layout planning. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Seokhyeong Kang Construction of realistic gate sizing benchmarks with known optimal solutions. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gregory Shklover, Ben Emanuel Simultaneous clock and data gate sizing algorithm with common global objective. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Long Chang, Iris Hui-Ru Jiang, Yu-Ming Yang, Evan Y.-W. Tsai, Aki S.-H. Chen Novel pulsed-latch replacement based on time borrowing and spiral clustering. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jackey Z. Yan, Chris Chu Optimal slack-driven block shaping algorithm in fixed-outline floorplanning. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tsung-Wei Huang, Jia-Wen Chang, Tsung-Yi Ho Integrated fluidic-chip co-design methodology for digital microfluidic biochips. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shuai Li, Cheng-Kok Koh Mixed integer programming models for detailed placement. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shayak Banerjee, Kanak B. Agarwal, Sani R. Nassif Design-aware lithography. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yeh-Chi Chang, Chun-Kai Wang, Hung-Ming Chen On construction low power and robust clock tree via slew budgeting. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Venky Ramachandran Construction of minimal functional skew clock trees. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tong Gao, Prashant Saxena On pioneering nanometer-era routing problems. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Renshen Wang, Nimish Shah Scalable hierarchical floorplanning for fast physical prototyping of systems-on-chip. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jiang Hu, Cheng-Kok Koh (eds.) International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012 Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Cong, Bin Liu 0006, Guojie Luo, Raghu Prabhakar Towards layout-friendly high-level synthesis. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alexander Korobkov Power-grid (PG) analysis challenges for large microprocessor designs: (our experience with oracle sparc processor designs). Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1C. L. Liu 0001 I attended the nineteenth design automation conference. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, Shih-Hung Weng Low-power gated bus synthesis for 3d ic via rectilinear shortest-path steiner graph. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Martin D. F. Wong On simulated annealing in EDA. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Cong Transformation from ad hoc EDA to algorithmic EDA. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng Placement and beyond in honor of Ernest S. Kuh. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wojciech Maly Vertical slit transistor based integrated circuits (veSTICs): feasibility study. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, Grantham K. H. Pang, Yuanzhe Wang, Ngai Wong More realistic power grid verification based on hierarchical current and power constraints. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Samuel I. Ward, David A. Papa, Zhuo Li 0001, Cliff N. Sze, Charles J. Alpert, Earl E. Swartzlander Jr. Quantifying academic placer performance on custom designs. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yao-Wen Chang, Jiang Hu (eds.) Proceedings of the 2011 International Symposium on Physical Design, ISPD 2011, Santa Barbara, California, USA, March 27-30, 2011 Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johann Knechtel, Igor L. Markov, Jens Lienig Assembling 2D blocks into 3D chips. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vivek Singh Litho and design: moore close than ever. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tsung-Hsien Lee, Yen-Jung Chang, Ting-Chi Wang An enhanced global router with consideration of general layer directives. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yang Zhao 0001, Krishnendu Chakrabarty Co-optimization of droplet routing and pin assignment in disposable digital microfluidic biochips. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yi-Le Huang, Jiang Hu, Weiping Shi Lagrangian relaxation for gate implementation selection. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Robert Patti Advances in 3D integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fang Gong, Hao Yu 0001, Lei He 0001 Stochastic analog circuit behavior modeling by point estimation method. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kun Yuan, David Z. Pan E-beam lithography stencil planning and optimization with overlapped characters. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shao-Huan Wang, Yu-Yi Liang, Tien-Yu Kuo, Wai-Kei Mak Power-driven flip-flop merging and relocation. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xi Chen, Jiang Hu, Ning Xu 0006 Regularity-constrained floorplanning for multi-core processors. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tarun Mittal, Cheng-Kok Koh Cross link insertion for improving tolerance to variations in clock network synthesis. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Natarajan Viswanathan, Charles J. Alpert, Cliff C. N. Sze, Zhuo Li 0001, Gi-Joon Nam, Jarrod A. Roy The ISPD-2011 routability-driven placement contest and benchmark suite. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tanay Karnik, Dinesh Somasekhar, Shekhar Borkar 3DICs for tera-scale computing: a case study. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jianchao Lu, Xiaomi Mao, Baris Taskin Timing slack aware incremental register placement with non-uniform grid generation for clock mesh synthesis. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shashank Bujimalla, Cheng-Kok Koh Synthesis of low power clock trees for handling power-supply variations. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ren-Song Tsay From academic ideas to practical physical design tools. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Massoud Pedram Robust design of power-efficient VLSI circuits. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexander Volkov Impact of manufacturing on routing methodology at 32/22 nm. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jin-Tai Yan, Zhi-Wei Chen Obstacle-aware length-matching bus routing. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Iris Hui-Ru Jiang, Chih-Long Chang, Yu-Ming Yang, Evan Y.-W. Tsai, Lancer S.-F. Chen INTEGRA: fast multi-bit flip-flop clustering for clock power saving based on interval graphs. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ernest S. Kuh Professor Ernest Kuh's talk. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yanheng Zhang, Chris Chu RegularRoute: an efficient detailed router with regular routing patterns. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Malgorzata Marek-Sadowska On old and new routing problems. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Haitong Tian, Wai-Chung Tang, Evangeline F. Y. Young, Cliff C. N. Sze Grid-to-ports clock routing for high performance microprocessor designs. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dongjin Lee, Igor L. Markov Obstacle-aware clock-tree shaping during placement. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tung-Chieh Chen Automated placement for custom digital designs. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jackey Z. Yan, Chris Chu, Wai-Kei Mak SafeChoice: a novel clustering algorithm for wirelength-driven placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vlsi placement, physical design, hypergraph clustering
1Jin Hu, Jarrod A. Roy, Igor L. Markov Completing high-quality global routes. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF global routing
1Tsung-Wei Huang, Tsung-Yi Ho A two-stage ILP-based droplet routing algorithm for pin-constrained digital microfluidic biochips. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, ilp, microfluidic, biochip
1Yaoguang Wei, Sachin S. Sapatnekar Dummy fill optimization for enhanced manufacturability. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, design for manufacturability, chemical-mechanical polishing, dummy fill
1Hua Xiang 0001, Haoxing Ren, Louise Trevillyan, Lakshmi N. Reddy, Ruchir Puri, Minsik Cho Logical and physical restructuring of fan-in trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF symmetric-function fan-in tree, restructure, commutative
1Yue Xu, Chris Chu A matching based decomposer for double patterning lithography. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF doubel patterning lithography, planar graph, matching algorithm
1Huang-Yu Chen, Szu-Jui Chou, Yao-Wen Chang Density gradient minimization with coupling-constrained dummy fill for CMP control. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF density gradient, manufacturability, chemical-mechanical polishing, dummy fill
1Michael Eick, Martin Strasser, Helmut E. Graeb, Ulf Schlichtmann Automatic generation of hierarchical placement rules for analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hierarchical placement rules, constraints, placement, analog integrated circuits
1Venkata Rajesh Mekala, Yifang Liu, Xiaoji Ye, Jiang Hu, Peng Li 0001 Accurate clock mesh sizing via sequential quadraticprogramming. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, sequential quadratic programming
1Yufu Zhang, Bing Shi, Ankur Srivastava 0001 A statistical framework for designing on-chip thermal sensing infrastructure in nano-scale systems. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF estimation, statistical, temperature, sensor placement
1Ashutosh Chakraborty, David Z. Pan Skew management of NBTI impacted gated clock trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock skew, clock gating, NBTI
Displaying result #401 - #500 of 983 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license