The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NBTI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2006 (24) 2007 (33) 2008 (16) 2009 (33) 2010 (41) 2011 (39) 2012 (35) 2013 (32) 2014 (33) 2015 (29) 2016 (33) 2017 (18) 2018 (25) 2019-2020 (24) 2021-2022 (21) 2023 (8)
Publication types (Num. hits)
article(161) inproceedings(283)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 110 occurrences of 58 keywords

Results
Found 444 publication records. Showing 444 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Balaji Vaidyanathan, Anthony S. Oates, Yuan Xie 0001 Intrinsic NBTI-variability aware statistical pipeline performance assessment and tuning. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Hao-I Yang, Ching-Te Chuang, Wei Hwang Impacts of NBTI and PBTI on Power-gated SRAM with High-k Metal-gate Devices. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18C. Guardiani, A. Shibkov, Angelo Brambilla, Giancarlo Storti Gajani, Davide Appello, Fausto Piazza, Paolo Bernardi An I-IP based approach for the monitoring of NBTI effects in SoCs. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Dominik Lorenz, Georg Georgakos, Ulf Schlichtmann Aging analysis of circuit timing considering NBTI and HCI. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Maurício Banaszeski da Silva, Vinicius V. A. Camargo, Lucas Brusamarello, Gilson I. Wirth, Roberto da Silva NBTI-aware technique for transistor sizing of high-performance CMOS gates. Search on Bibsonomy LATW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Thomas Aichinger, Michael Nelhiebel, Tibor Grasser On the temperature dependence of NBTI recovery. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Stefano Aresu, Reinhard Pufall, Michael Goroll, Wolfgang Gustin NBTI on smart power technologies: A detailed analysis of two concurrent effects using a re-examined on-the-fly technique. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Anastasios A. Katsetos Negative bias temperature instability (NBTI) recovery with bake. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Arnost Neugroschel, Gennadi Bersuker, Rino Choi Applications of DCIV method to NBTI characterization. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sung Jun Jang, Dae Hyun Ka, Chong-Gun Yu, Kwan-Su Kim, Won-Ju Cho, Jong Tae Park 0003 Comparative study of NBTI as a function of Si film orientation and thickness in SOI pMOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18A. Shickova, Ben Kaczer, Anabela Veloso, Marc Aoulaiche, M. Houssa, Herman E. Maes, Guido Groeseneken, J. A. Kittl NBTI reliability of Ni FUSI/HfSiON gates: Effect of silicide phase. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18C. Y. Lu, Horng-Chih Lin, Y. J. Lee Dynamic NBTI characteristics of PMOSFETs with PE-SiN capping. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Helmut Puchner NBTI product level reliability for a low-power SRAM technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Stefano Aresu, Werner Kanert, Reinhard Pufall, Michael Goroll Exceptional operative gate voltage induces negative bias temperature instability (NBTI) on n-type trench DMOS transistors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Muhammad Ashraful Alam, Haldun Kufluoglu, Dhanoop Varghese, S. Mahapatra A comprehensive model for PMOS NBTI degradation: Recent progress. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18C. R. Parthasarathy, Alain Bravaix, Chloe Guérin, M. Denais, Vincent Huard Design-In Reliability for 90-65nm CMOS Nodes Submitted to Hot-Carriers and NBTI Degradation. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kunhyuk Kang, Muhammad Ashraful Alam, Kaushik Roy 0001 Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Vincent Huard, M. Denais, C. R. Parthasarathy NBTI degradation: From physical mechanisms to modelling. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Marty Agostinelli, Shing Lau, Sangwoo Pae, Phil Marzolf, Harish Muthali, Steve Jacobs PMOS NBTI-induced circuit mismatch in advanced technologies. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Sarvesh Bhardwaj, Wenping Wang, Rakesh Vattikonda, Yu Cao 0001, Sarma B. K. Vrudhula Predictive Modeling of the NBTI Effect for Reliable Design. Search on Bibsonomy CICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy 0001 Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shyue Seng Tan, Tupei Chen, Lap Chan Dynamic NBTI lifetime model for inverter-like waveform. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Prasad Chaparala, Douglas Brisbin Impact of NBTI and HCI on PMOSFET threshold voltage drift. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hideki Aono, Eiichi Murakami, Kousuke Okuyama, A. Nishida, Masataka Minami, Y. Ooji, Katsuhiko Kubota Modeling of NBTI saturation effect and its impact on electric field dependence of the lifetime. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Vincent Huard, M. Denais, F. Perrier, Nathalie Revil, C. R. Parthasarathy, Alain Bravaix, E. Vincent A thorough investigation of MOSFETs NBTI degradation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Muhammad Ashraful Alam, S. Mahapatra A comprehensive model of PMOS NBTI degradation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Shimpei Tsujikawa, Jiro Yugami Positive charge generation due to species of hydrogen during NBTI phenomenon in pMOSFETs with ultra-thin SiON gate dielectrics. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yao-Jen Lee, Tien-Sheng Chao, Tiao-Yuan Huang High voltage applications and NBTI effects of DT-pMOSFETS with reverse Schottky substrate contacts. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Lifeng Wu NBTI/HCI Modeling and Full-Chip Analysis in Design Environment. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mahesh S. Krishnan, Viktor Kol'dyaev, Eiji Morifoji, Koji Miyamoto, Tomasz Brozek, Xiaolei Li Series resistance degradation due to NBTI in PMOSFET. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri, Janet Meiling Wang Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Adaptive techniques for overcoming performance degradation due to aging in digital circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Bin Zhang 0011 Online circuit reliability monitoring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, circuit, macromodel, online monitoring
15Chenyue Ma, Bo Li, Lining Zhang, Jin He 0003, Xing Zhang 0002, Xinnan Lin, Mansun Chan A unified FinFET reliability model including high K gate stack dynamic threshold voltage, hot carrier injection, and negative bias temperature instability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge Multi-Mechanism Reliability Modeling and Management in Dynamic Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Suresh Srinivasan, Krishnan Ramakrishnan, Prasanth Mangalagiri, Yuan Xie 0001, Vijaykrishnan Narayanan, Mary Jane Irwin, Karthik Sarpatwari Toward Increasing FPGA Lifetime. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reliability, Reconfigurable hardware, availability and serviceability
15Prasanth Mangalagiri, Sungmin Bae, Krishnan Ramakrishnan, Yuan Xie 0001, Vijaykrishnan Narayanan Thermal-aware reliability analysis for platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Nimay Shah, Rupak Samanta, Ming Zhang, Jiang Hu, Duncan Walker Built-In Proactive Tuning System for Circuit Aging Resilience. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Baker Mohammad, Martin Saint-Laurent, Paul Bassett, Jacob A. Abraham Cache Design for Low Power and High Yield. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reduce voltage swing, sram yield, SRAM 6T cell, cache design, parametric failure
15Philippe Magarshack Design challenges in 45nm and below: DFM, low-power and design for reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design for reliability, low-power design, design for manufacturability
15Kunhyuk Kang, Keejong Kim, Kaushik Roy 0001 Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dennis Sylvester, David T. Blaauw, Eric Karl ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF unpredictable silicon, runtime self-diagnosis, adaptivity, architecture, process variations, self-healing, ElastIC, technology scaling
15Robert C. Aitken Reliability Issues for Embedded SRAM at 90nm and Below. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ananth Somayaji Goda, Gautam Kapila Design For Degradation : CAD Tools for Managing Transistor Degradation Mechanisms. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #444 of 444 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license