The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2003 (34) 2004 (54) 2005 (102) 2006 (133) 2007 (193) 2008 (189) 2009 (153) 2010 (156) 2011 (170) 2012 (153) 2013 (176) 2014 (149) 2015 (162) 2016 (138) 2017 (138) 2018 (132) 2019 (107) 2020 (100) 2021 (87) 2022 (74) 2023 (68) 2024 (6)
Publication types (Num. hits)
article(678) book(1) incollection(23) inproceedings(1948) phdthesis(24)
Venues (Conferences, Journals, ...)
NOCS(129) DATE(124) ISCAS(72) DSD(67) DAC(63) ISVLSI(53) SBCCI(53) CoRR(49) IEEE Trans. Very Large Scale I...(41) SoCC(39) ASP-DAC(37) IEEE Trans. Comput. Aided Des....(37) Microprocess. Microsystems(37) CODES+ISSS(35) NoCArc@MICRO(34) ICCD(33) More (+10 of total 510)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1003 occurrences of 375 keywords

Results
Found 2678 publication records. Showing 2674 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Jingcao Hu, Radu Marculescu Energy- and performance-aware mapping for regular NoC architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Holger Blume, Thorsten von Sydow, Daniel Becker 0001, Tobias G. Noll Modeling NoC Architectures by Means of Deterministic and Stochastic Petri Nets. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yves Vanderperren, Wim Dehaene UML 2 and SysML: An Approach to Deal with Complexity in SoC/NoC Design. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Partha Pratim Pande, Cristian Grecu, Michael Jones, André Ivanov, Res Saleh Effect of traffic localization on energy dissipation in NoC-based interconnect. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Daniel Andreasson, Shashi Kumar Slack-time aware routing in NoC systems. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Praveen Bhojwani, Rabi N. Mahapatra, Eun Jung Kim 0001, Thomas Chen A Heuristic for Peak Power Constrained Design of Network-on-Chip (NoC) Based Multimode Systems. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ashok Narasimhan, Shantanu Divekar, Praveen Elakkumanan, Ramalingam Sridhar A Low-Power Current-Mode Clock Distribution Scheme for Multi-GHz NoC-Based SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jongman Kim, Dongkook Park, Chrysostomos Nicopoulos, Narayanan Vijaykrishnan, Chita R. Das Design and analysis of an NoC architecture from performance, reliability and energy perspective. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reliability, networks-on-chip, adaptive routing
21Chan-Eun Rhee, Han-You Jeong, Soonhoi Ha Many-to-Many Core-Switch Mapping in 2-D Mesh NoC Architectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jingcao Hu, Radu Marculescu Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Young-Jin Yoon, Nicola Concer, Michele Petracca, Luca P. Carloni Virtual channels vs. multiple physical networks: a comparative analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF channel slicing, network-on-chip, virtual channel
17Marcus Vinícius Carvalho da Silva, Nadia Nedjah, Luiza de Macedo Mourelle Application Synthesis for MPSoCs Implementation Using Multiobjective Optimization. Search on Bibsonomy IWANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Application-aware prioritization mechanisms for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
17Taehoon Kim, Sungwoo Tak A real-time hardware-software codesign technique of network protocols to provide QoS. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF QoS communications architecture, real-time scheduling, network protocols, hardware-software codesign
17Yi Xu, Yu Du, Bo Zhao 0007, Xiuyi Zhou, Youtao Zhang, Jun Yang 0002 A low-radix and low-diameter 3D interconnection network design. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Björn Osterloh, Harald Michalik, Björn Fiethe SoCWire: A Robust and Fault Tolerant Network-on-Chip Approach for a Dynamic Reconfigurable System-on-Chip in FPGAs. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoCWire, dynamic reconfigurable system, Sytem-on-Chip, Network-on-Chip, SRAM-based FPGA, VMC
17Marcelo Daniel Berejuck, César Albenes Zeferino Adding mechanisms for QoS to a network-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, systems-on-chip, networks-on-chip
17Fahime Moein-darbari, Ahmad Khademzadeh, Golnar Gharooni-fard Evaluating the Performance of a Chaos Genetic Algorithm for Solving the Network on Chip Mapping Problem. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Dietmar Tutsch, Miroslaw Malek Comparison of network-on-chip topologies for multicore systems considering multicast and local traffic. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, performance, multicast, network-on-chip, multicore processor
17Tom van den Broek, Julien Schmaltz Towards a formally verified network-on-chip. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Daniel U. Becker, William J. Dally Allocator implementations for network-on-chip routers. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17David Fick, Andrew DeOrio, Jin Hu, Valeria Bertacco, David T. Blaauw, Dennis Sylvester Vicis: a reliable network for unreliable silicon. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hard faults, fault tolerance, built-in-self-test, Network-on-Chip, reconfiguration, torus, N-modular redundancy
17Zhonghai Lu, Axel Jantsch TDM Virtual-Circuit Configuration for Network-on-Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Anthony Leroy, Dragomir Milojevic, Diederik Verkest, Frédéric Robert, Francky Catthoor Concepts and Implementation of Spatial Division Multiplexing for Guaranteed Throughput in Networks-on-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Assaf Shacham, Keren Bergman, Luca P. Carloni Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chen-Ling Chou, Ümit Y. Ogras, Radu Marculescu Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Randeep Bhatia, Nicole Immorlica, Tracy Kimbrel, Vahab S. Mirrokni, Joseph Naor, Baruch Schieber Traffic Engineering of Management Flows by Link Augmentations on Confluent Trees. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Confluent flows, Management flows, Dynamic programming, Combinatorial optimization, Network management, Traffic engineering
17Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, systems on chips, networks on chip, interconnection, Application specific
17Yatin Vasant Hoskote, Radu Marculescu, Li-Shiuan Peh Guest Editors' Introduction: Tackling Key Problems in NoCs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang, Wei Hwang Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnnection, reliability, low power, network-on-chip
17Min Zhang 0012, Chiu-sing Choy Low-Cost VC Allocator Design for Virtual Channel Wormhole Routers in Networks-on-Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mikkel Bystrup Stensgaard, Jens Sparsø ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Communication, System-on-Chip, Network-on-Chip, Reconfigurable, Application-specific
17Andreas Hansson 0001, Maarten Wiggers, Arno Moonen, Kees Goossens, Marco Bekooij Applying Dataflow Analysis to Dimension Buffers for Guaranteed Performance in Networks on Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cyclo-Static Dataflow, System on Chip, Network on Chip, Real-Time Performance
17Bin Li 0018, Li-Shiuan Peh, Priyadarsan Patra Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Antonio Deledda, Claudio Mucci, Arseni Vitkovski, Philippe Bonnot 0001, Arnaud Grasset, Philippe Millet, Matthias Kühnle, Florian Ries, Michael Hübner 0001, Jürgen Becker 0001, Massimo Coppola, Lorenzo Pieralisi, Riccardo Locatelli, Giuseppe Maruccia, Fabio Campi, Tommaso DeMarco Design of a HW/SW Communication Infrastructure for a Heterogeneous Reconfigurable Processor. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mohammad Hosseinabady, Mohammad Reza Kakoee, Jimson Mathew, Dhiraj K. Pradhan De Bruijn Graph as a Low Latency Scalable Architecture for Energy Efficient Massive NoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mohammad Abdullah Al Faruque, Jörg Henkel Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Feng Yuan, Lin Huang 0002, Qiang Xu 0001 Re-Examining the Use of Network-on-Chip as Test Access Mechanism. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Samuel Rodrigo, José Flich, José Duato, Mark Hummel Efficient unicast and multicast support for CMPs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shan Yan, Bill Lin 0001 Application-specific Network-on-Chip architecture synthesis based on set partitions and Steiner Trees. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nader Bagherzadeh, Masaru Matsuura Performance Impact of Task-to-Task Communication Protocol in Network-on-Chip. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System-on-Chip, Network-on Chip, communication protocol
17Mohammad Sadegh Talebi, Fahimeh Jafari, Ahmad Khonsari, Mohammad Hossien Yaghmaee Proportionally-fair best effort flow control in network-on-chip architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Huaxi Gu, Jiang Xu 0001, Zheng Wang ODOR: a microresonator-based high-performance low-cost router for optical networks-on-Chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microresonator, low power, network on chip, optical interconnect, router architecture, loss
17Sanna Määttä, Leandro Soares Indrusiak, Luciano Ost, Leandro Möller, Jari Nurmi, Manfred Glesner, Fernando Moraes 0001 Validation of executable application models mapped onto network-on-chip platforms. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Christian Paukovits, Hermann Kopetz Concepts of Switching in the Time-Triggered Network-on-Chip. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Igor Loi, Subhasish Mitra, Thomas H. Lee, Shinobu Fujita, Luca Benini A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jason Cong, Karthik Gururaj, Guoling Han, Adam Kaplan, Mishali Naik, Glenn Reinman MC-Sim: an efficient simulation tool for MPSoC designs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Fahimeh Jafari, Mohammad Hossien Yaghmaee, Mohammad Sadegh Talebi, Ahmad Khonsari Max-Min-Fair Best Effort Flow Control in Network-on-Chip Architectures. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network-on-Chip, flow control, Max-Min fairness
17Ahmad Khonsari, Mohammad R. Aghajani, Arash Tavakkol, Mohammad Sadegh Talebi Mathematical analysis of buffer sizing for Network-on-Chips under multimedia traffic. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Reza Sabbaghi-Nadooshan, Mehdi Modarressi, Hamid Sarbazi-Azad The 2D DBM: An attractive alternative to the simple 2D mesh topology for on-chip networks. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Amir Hosseini, Tamer Ragheb, Yehia Massoud A fault-aware dynamic routing algorithm for on-chip networks. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mingsong Lv, Ying Guo, Nan Guan, Qingxu Deng RTNoC: A Simulation Tool for Real-Time Communication Scheduling on Networks-on-Chips. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Slavisa Jovanovic, Camel Tanougast, Serge Weber A new high-performance scalable dynamic interconnection for FPGA-based reconfigurable systems. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Abbas Eslami Kiasari, Shaahin Hessabi, Hamid Sarbazi-Azad PERMAP: A performance-aware mapping for application-specific SoCs. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alexander D. Rast, Shufan Yang, Muhammad Mukaram Khan, Stephen B. Furber Virtual synaptic interconnect using an asynchronous network-on-chip. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mahmoud Moadeli, Alireza Shahrabi, Wim Vanderbauwhede, Mohamed Ould-Khaoua Modeling Differentiated Services-Based QoS in Wormhole-Routed NoCs. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Perfromance modelling, QoS, Network on Chip, Wormhole
17Hans G. Kerkhoff, Jarkko J. M. Huijts Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair
17Fahimeh Jafari, Mohammad Sadegh Talebi, Ahmad Khonsari, Mohammad Hossien Yaghmaee A Novel Congestion Control Scheme in Network-on-Chip Based on Best Effort Delay-Sum Optimization. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Congestion control, Network-on-Chip, iterative algorithm
17Antonio Pullini, Federico Angiolini, Srinivasan Murali, David Atienza, Giovanni De Micheli, Luca Benini Bringing NoCs to 65 nm. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network on chip, low-power design, power management, multicore architectures, on-chip interconnection networks, design aids, deep submicron design
17Cristian Grecu, André Ivanov, Partha Pratim Pande, Axel Jantsch, Erno Salminen, Ümit Y. Ogras, Radu Marculescu Towards Open Network-on-Chip Benchmarks. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, metrics, benchmarks, networks-on-chip
17Jeffrey D. Hoffman, David Arditti Ilitzky, Anthony Chun, Aliaksei Chapyzhenka Architecture of the Scalable Communications Core. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Brett Feero, Partha Pratim Pande Performance Evaluation for Three-Dimensional Networks-On-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jeffrey D. Hoffman, David Arditti Ilitzky, Anthony Chun, Aliaksei Chapyzhenka Overview of the Scalable Communications Core. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Evgeny Bolotin, Israel Cidon, Ran Ginosar, Avinoam Kolodny Routing table minimization for irregular mesh NoCs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kim Petersén, Johnny Öberg Toward a scalable test methodology for 2D-mesh Network-on-Chips. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Paolo Meloni, Giovanni Busonera, Salvatore Carta, Luigi Raffo On the impact of serialization on the cache performances in Network-on-Chip based MPSoCs. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mohammad Reza Kakoee, Mohammad Hossein Neishaburi, Masoud Daneshtalab, Saeed Safari, Zainalabedin Navabi On-Chip Verification of NoCs Using Assertion Processors. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Timo Schönwald, Jochen Zimmermann, Oliver Bringmann 0001, Wolfgang Rosenstiel Fully Adaptive Fault-Tolerant Routing Algorithm for Network-on-Chip Architectures. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sung-Tze Wu, Chih-Hao Chao, I-Chyn Wey, An-Yeu Wu Dynamic Channel Flow Control of Networks-on-Chip Systems for High Buffer Efficiency. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Florian Thoma, Matthias Kühnle, Philippe Bonnot 0001, Elena Moscu Panainte, Koen Bertels, Sebastian Goller, Axel Schneider, Stéphane Guyetant, Eberhard Schüler, Klaus D. Müller-Glaser, Jürgen Becker 0001 MORPHEUS: Heterogeneous Reconfigurable Computing. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Christian Schuck, Stefan Lamparth, Jürgen Becker 0001 artNoC - A Novel Multi-Functional Router Architecture for Organic Computing. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang On the Physicl Layout of PRDT-Based NoCs. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rabindra Ku Jena, Gopal Ku Sharma A Multi-Objective Evolutionary Algorithm Based Optimization Model for Network-on-Chip Synthesis. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Pascal T. Wolkotte, Philip K. F. Hölzenspies, Gerard J. M. Smit Using an FPGA for Fast Bit Accurate SoC Simulation. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andreas Hansson 0001, Martijn Coenen, Kees Goossens Channel trees: reducing latency by sharing time slots in time-multiplexed networks on chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality-of-service, system-on-chip, network-on-chip, time-division-multiplexing
17Feihui Li, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu Profile-driven energy reduction in network-on-chips. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, compiler, Network-on-Chip, power
17Reza Moraveji, Hamid Sarbazi-Azad, Maghsoud Abbaspour Optimal Placement of Frequently Accessed IPs in Mesh NoCs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Latency model, IPs/Cores mapping, System on chip, Network on chip, Mesh, Power model
17César A. M. Marcon, Edson I. Moreno, Ney Laert Vilar Calazans, Fernando Gehm Moraes Evaluation of Algorithms for Low Energy Mapping onto NoCs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Baojun Qiao, Feng Shi 0009, Weixing Ji THIN: A New Hierarchical Interconnection Network-on-Chip for SOC. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multicast, System-on-Chip, Network-on-Chip, network topology
17Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Safety Evaluation of NanoFabrics. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano Tightly-Coupled Multi-Layer Topologies for 3-D NoCs. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ümit Y. Ogras, Radu Marculescu, Puru Choudhary, Diana Marculescu Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Erno Salminen, Tero Kangas, Timo D. Hämäläinen, Jouni Riihimäki, Vesa Lahtinen, Kimmo Kuusilinna HIBI Communication Network for System-on-Chip. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hierarchical bus, system-on-chip, network-on-chip, wrapper
17Dongkook Park, Chrysostomos Nicopoulos, Jongman Kim, Narayanan Vijaykrishnan, Chita R. Das Exploring Fault-Tolerant Network-on-Chip Architectures. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17José Carlos S. Palma, Leandro Soares Indrusiak, Fernando Gehm Moraes, Alberto García Ortiz, Manfred Glesner, Ricardo A. L. Reis Adaptive Coding in Networks-on-Chip: Transition Activity Reduction Versus Power Overhead of the Codec Circuitry. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ming Li, Wen-Ben Jone, Qing-An Zeng An Efficient Wrapper Scan Chain Configuration Method for Network-on-Chip Testing. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17José Carlos S. Palma, Ricardo A. L. Reis, Leandro Soares Indrusiak, Alberto García Ortiz, Manfred Glesner, Fernando Gehm Moraes Evaluating the Impact of Data Encoding Techniques on the Power Consumption in Networks-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hsin-Chou Chi, Chia-Ming Wu An Efficient Scheduler for Circuit-Switched Network-on-Chip Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chunsheng Liu, Vikram Iyengar Test scheduling with thermal optimization for network-on-chip systems using variable-rate on-chip clocking. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Krishnan Srinivasan, Karam S. Chatha A low complexity heuristic for design of custom network-on-chip architectures. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chrysostomos Nicopoulos, Dongkook Park, Jongman Kim, Narayanan Vijaykrishnan, Mazin S. Yousif, Chita R. Das ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Po-Tsang Huang, Wei Hwang 2-level FIFO architecture design for switch fabrics in network-on-chip. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Cristian Grecu, Partha Pratim Pande, André Ivanov, Res Saleh BIST for Network-on-Chip Interconnect Infrastructures. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect infrastructure, unicast test, multicast test, built-in self-test, network-on-chip
17Ümit Y. Ogras, Radu Marculescu Prediction-based flow control for network-on-chip traffic. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF congestion control, networks-on-chip, flow control, multi-processor systems
17Srinivasan Murali, David Atienza, Luca Benini, Giovanni De Micheli A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF re-order buffers, fault-tolerance, routing, systems on chip, networks on chip, flow control, multi-path
17Rajesh K. Gupta 0001 On-chip networks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF integration, SoCs, networks on chips, on-chip interconnects
17César A. M. Marcon, José Carlos S. Palma, Ney Laert Vilar Calazans, Fernando Gehm Moraes, Altamiro Amadeu Susin, Ricardo Augusto da Luz Reis Modeling the Traffic Effect for the Application Cores Mapping Problem onto NoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Philippe Martin 0005 Design of a Virtual Component Neutral Network-on-Chip Transaction Layer. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Stergios Stergiou, Federico Angiolini, Salvatore Carta, Luigi Raffo, Davide Bertozzi, Giovanni De Micheli xpipes Lite: A Synthesis Oriented Design Library For Networks on Chips. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2674 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license