The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RLC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1963-1993 (16) 1994-1997 (22) 1998-1999 (24) 2000 (16) 2001 (16) 2002 (42) 2003 (40) 2004 (42) 2005 (35) 2006 (50) 2007 (31) 2008 (23) 2009-2010 (26) 2011-2012 (16) 2013-2015 (15) 2016-2017 (23) 2018-2019 (15) 2020-2021 (22) 2022-2023 (15) 2024 (3)
Publication types (Num. hits)
article(174) data(1) incollection(1) inproceedings(313) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 203 occurrences of 144 keywords

Results
Found 492 publication records. Showing 492 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Waqar Zia, Klaus Diepold, Thomas Stockhammer Complexity Constrained Robust Video Transmission for Hand-Held Devices. Search on Bibsonomy ICIP (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Saurabh K. Tiwary, Joel R. Phillips WAVSTAN: waveform based variational static timing analysis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Brajesh Kumar Kaushik, Sankar Sarkar, Rajendra Prasad Agarwal, Ramesh C. Joshi Crosstalk Analysis of an Inductively and Capacitively Coupled Interconnect Driven by a CMOS Gate. Search on Bibsonomy ICIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Zhaohui Gan, Zhenkun Yang, Gaobin Li, Min Jiang Automatic Synthesis of Practical Passive Filters Using Clonal Selection Principle-Based Gene Expression Programming. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Pawel Fabijanski, Ryszard Lagoda Digital Model of Series Resonant Converter with Piezoelectric Ceramic Transducers and Fuzzy Logic Control. Search on Bibsonomy ICANNGA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yung-Ta Li, Zhaojun Bai, Yangfeng Su, Xuan Zeng 0001 Parameterized model order reduction via a two-directional Arnoldi process. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Arthur Nieuwoudt, Yehia Massoud Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Shoou-Jinn Chang, Hao-Sheng Hou, Yan-Kuin Su Automated passive filter synthesis using a novel tree representation and genetic programming. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Arijit Raychowdhury, Kaushik Roy 0001 Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zhao Li, C.-J. Richard Shi A Quasi-Newton Preconditioned Newton-Krylov Method for Robust and Efficient Time-Domain Simulation of Integrated Circuits With Strong Parasitic Couplings. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Janet Meiling Wang, Jun Li 0066, Satish K. Yanamanamanda, Lakshmi Kalpana Vakati, Kishore Kumar Muchherla Modeling the Driver Load in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11James D. Ma, Rob A. Rutenbar Fast Interval-Valued Statistical Modeling of Interconnect and Effective Capacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Vaibhava Goel, Shankar Kumar, William Byrne Corrections to "Segmental minimum Bayes-risk decoding for automatic speech recognition". Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Shang-Fang Tsai, Shanq-Jang Ruan DS2IS: Dictionary-based Segmented Signal Inversion Scheme for Low Power Dynamic Bus Design. Search on Bibsonomy ICIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Takayuki Watanabe, Yuichi Tanji, Hidemasa Kubota, Hideki Asai Parallel-distributed time-domain circuit simulation of power distribution networks with frequency-dependent parameters. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zhao Li, C.-J. Richard Shi A quasi-newton preconditioned Newton-Krylov method for robust and efficient time-domain simulation of integrated circuits with strong parasitic couplings. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Shanq-Jang Ruan, Edwin Naroska, Chun-Chih Chen Optimal partitioned fault-tolerant bus layout for reducing power in nanometer designs. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-tolerant, reliability, low power, coupling capacitance
11Nahi H. Abdul Ghani, Farid N. Najm Handling inductance in early power grid verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Magnus G. J. Lind, Guy Albert Dumont, William G. Dunford Analysis of a circuit exhibiting ferroresonance. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Di Mu, Tian Xia, Hao Zheng 0001 Data Dependent Jitter Characterization Based on Fourier Analysis. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Min Chen 0024, Yu Cao 0001 Analysis of Pulse Signaling for Low-Power On-Chip Global Bus Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zhuo Feng, Peng Li 0001, Jiang Hu Efficient Model Update for General Link-Insertion Networks. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Andrew B. Kahng, Bao Liu 0001, Sheldon X.-D. Tan SMM: Scalable Analysis of Power Delivery Networks by Stochastic Moment Matching. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ruey-Lue Wang, Shih-Chih Chen, Hsiang-Chen Kuo, Chien-Hsuan Liu A 0.18-µm CMOS UWB Low Noise Amplifier for Full-Band (3.1-10.6GHz) Application. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yici Cai, Xin Zhao, Qiang Zhou 0001, Xianlong Hong Shielding Area Optimization Under the Solution of Interconnect Crosstalk. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF middle shield insertion, crosstalk, inductive coupling
11James D. Z. Ma, Rob A. Rutenbar Fast interval-valued statistical interconnect modeling and reduction. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interval-valued statistical interconnect analysis, manufacturing variation, affine arithmetic
11Kai Zheng 0003, Zhen Liu 0018, Bin Liu 0001 High Performance Embedded Route Lookup Coprocessor for Network Processors. Search on Bibsonomy ICCNMC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Kai-Sheng Lu, Xiao-Yu Feng, Guo-Zhang Gao The separability, reducibility and controllability of RLCM networks over F(z). Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hideo Nakano, Hirohiko Honda, Hideaki Okazaki Canards in a slow-fast continuous piecewise linear vector field. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ruifeng Sun, Jaejin Park, Frank O'Mahony, C. Patrick Yue A low-power, 20-Gb/s continuous-time adaptive passive equalizer. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Youngsoo Shin, Hyung-Ock Kim Analysis of power consumption in VLSI global interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hao-Sheng Hou, Shoou-Jinn Chang, Yan-Kuin Su Economical passive filter synthesis using genetic programming based on tree representation. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Mustapha Hedabou, Pierre Pinel, Lucien Bénéteau Countermeasures for Preventing Comb Method Against SCA Attacks. Search on Bibsonomy ISPEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF comb method, pre-computed table, memory space, side channel attacks, Elliptic curve, scalar multiplication
11Mustapha Hedabou Efficient Countermeasures for Thwarting the SCA Attacks on the Frobenius Based Methods. Search on Bibsonomy IMACC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ?–adic method, precomputed table, Side Channel Attacks, Elliptic curve, scalar multiplication, Frobenius map
11Haihua Su, David Widiger, Chandramouli V. Kashyap, Frank Liu 0001, Byron Krauter A noise-driven effective capacitance method with fast embedded noise rule calculation for functional noise analysis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF glitch propagation, noise analysis, effective capacitance
11Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim A decoupling technique for efficient timing analysis of VLSI interconnects with dynamic circuit switching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Kevin M. Lepak, Min Xu, Jun Chen 0008, Lei He 0001 Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI physical design automation and on-chip inductance, net ordering, noise minimization, signal integrity, shielding
11Ji-An Zhao, Bo Li 0001, Chi-Wah Kok, Ishfaq Ahmad MPEG-4 Video Transmission over Wireless Networks: A Link Level Performance Study. Search on Bibsonomy Wirel. Networks The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DBMAP with marked transitions, HMM channel, PH-type distribution, DBMAP/PH/1 priority queue
11Howard Chen 0001, Daniel L. Ostapko Modeling Temporal and Spatial Power Supply Voltage Variation for Timing Analysis. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Alain Lopez, Denis Deschacht Comparison between Different Data Buses Configurations. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Hao Ji, Qingjian Yu, Wayne Wei-Ming Dai SPICE compatible circuit models for partial reluctance K. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Paulo Sérgio B. do Nascimento, Paulo Romero Martins Maciel, Manoel Eusébio de Lima, Remy Eskinazi Sant'Anna, Abel Guilhermino Silva-Filho A partial reconfigurable architecture for controllers based on Petri nets. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtual hardware, FPGAs, Petri nets, partial reconfiguration, programmable logic controller (PLC)
11Arijit Raychowdhury, Kaushik Roy 0001 A circuit model for carbon nanotube interconnects: comparative study with Cu interconnects for scaled technologies. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Janet Meiling Wang, Praveen Ghanta, Sarma B. K. Vrudhula Stochastic analysis of interconnect performance in the presence of process variations. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Michael D. Powell, T. N. Vijaykumar Exploiting Resonant Behavior to Reduce Inductive Noise. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yu Cao 0001, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Igor L. Markov, Michael Oliver, Dirk Stroobandt, Dennis Sylvester Improved a priori interconnect predictions and technology extrapolation in the GTX system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11M. A. Azadpour, T. S. Kalkur A clock interconnect extractor for multigigahertz frequencies incorporating inductance effect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Gregorio Cappuccino Operating Region Modelling of Deep-submicron CMOS Buffers Driving Global Scope Inductive Interconnects. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Sergey Gorinsky, Sugat Jain, Harrick M. Vin, Yongguang Zhang Robustness to inflated subscription in multicast congestion control. Search on Bibsonomy SIGCOMM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF misbehaving receivers, multicast, robustness, congestion control, fair bandwidth allocation
11William O. McCallister, Chih-Cheng Hung Image Segmentation Using Dynamic Run-Length Coding Technique. Search on Bibsonomy SCIA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Sheldon X.-D. Tan A General S-Domain Hierarchical Network Reduction Algorithm. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Bernard N. Sheehan Branch Merge Reduction of RLCM Networks. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF transmission-line modeling, Gaussian elimination, model order reduction, Parasitic extraction
11Christos Bouras, Apostolos Gkamas Comparing Performance of SRAMT-LE Vs. Other Layered Encoding Schemes Regarding TCP Friendliness. Search on Bibsonomy HSNMC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao 0001, Rajendran Panda, Sachin S. Sapatnekar Table look-up based compact modeling for on-chip interconnect timing and noise analysis. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Amorn Jiraseree-amornkun, Nobuo Fujii, Wanlop Surakampontorn Realization of electronically tunable ladder filters using multi-output current controlled conveyors. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Interconnect modeling and sensitivity analysis using adjoint networks reduction technique. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11J. Y. Son, K. R. Kang, D. Lee, S. H. Kang, Y. H. Lee, D. W. Han Router-Assisted TCP-Friendly Traffic Control for Layered Multicast. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Kai Wang 0011, Malgorzata Marek-Sadowska On-chip power supply network optimization using multigrid-based technique. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF congestion-aware, multigrid, power supply noise
11Emad Gad, Michel S. Nakhla Model order reduction of nonuniform transmission lines using integrated congruence transform. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF integrated congruence transform, nonuniform transmission lines, circuit simulation, signal integrity, model-order reduction, high-speed circuits
11Xin Li 0001, Peng Li 0001, Yang Xu 0017, Lawrence T. Pileggi Analog and RF circuit macromodels for system-level analysis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analog/RF circuits, macromodel
11Kevin T. Tang, Eby G. Friedman Simultaneous switching noise in on-chip CMOS power distribution networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Kaushik Gala, David T. Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi Inductance model and analysis methodology for high-speed on-chip interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Emrah Acar, Florentin Dartu, Lawrence T. Pileggi TETA: transistor-level waveform evaluation for timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Gregorio Cappuccino, Giuseppe Cocorullo Operating Region Modelling and Timing Analysis of CMOS Gates Driving Transmission Lines. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11David Goren, Michael Zelikson, Tiberiu C. Galambos, Rachel Gordin, Betty Livshitz, Alon Amir, Anatoly Sherman, Israel A. Wagner An Interconnect-Aware Methodology for Analog and Mixed Signal Design, Based on High Bandwidth (Over 40 Ghz) On-Chip Transmission Line Approach . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Alfredo Sanz, José I. García-Nicolás, Isidoro Urriza Implementing Converters in FPLD. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 On-chip interconnect modeling by wire duplication. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Hui Zheng, Lawrence T. Pileggi Robust and passive model order reduction for circuits containing susceptance elements. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Yigang He, Jinguang Jiang, Yichuang Sun CMOS R-MOSFET-C fourth-order Bessel filter with accurate group delay. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Amir Attarha, Mehrdad Nourani Test Pattern Generation for Signal Integrity Faults on Long Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Morteza Analoui, Mohammad Hossein Rezvani Performance enhancement of logical link control using channel quality in GPRS. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Amir Attarha, Mehrdad Nourani Signal integrity fault analysis using reduced-order modeling. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrity fault, locality factor, test pattern generation, signal integrity, reduced-order model
11Vivek K. Shanbhag, K. Gopinath, Markku Turunen, Ari Ahtiainen, Matti Luukkainen EASN: Integrating ASN.1 and Model Checking. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Vivek K. Shanbhag, K. Gopinath A SPIN-Based Model Checker for Telecommunication Protocols. Search on Bibsonomy SPIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11James D. Z. Ma, Lei He 0001 Simultaneous signal and power routing under K model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF net ordering, on-chip inductance, shield insertion, interconnect estimation, interconnect design
11Shabbir H. Batterywala, H. Narayanan Spectral Algorithm To Compute And Synthesize Reduced Order Passive Models For Arbitrary Rc Multiports. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Koichi Yano, Steven McCanne A window-based congestion control for reliable multicast based on TCP dynamics. Search on Bibsonomy ACM Multimedia The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TCP/IP
11Shen Lin, Norman Chang, O. Sam Nakagawa Quick On-Chip Self- and Mutual-Inductance Screen. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF inductance screening, significant frequency, inductive coupling and inductive modeling, signal integrity
11Takashi Hisakado, Kohshi Okumura Steady states prediction in nonlinear circuit by wavelet transform. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Roland W. Freund, Peter Feldmann Reduced-Order Modeling of Large Linear Passive Multi-Terminal Circuits Using Matrix-Pade Approximation. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF linear passive multi-terminal circuit, matrix-Pade approximants, Lanczos-type process, interconnect analysis, simulation, synthesis, transfer function
11Payam Heydari, Massoud Pedram Calculation of ramp response of lossy transmission lines using two-port network functions. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Yehea I. Ismail, Eby G. Friedman, José Luis Neves Power dissipated by CMOS gates driving lossless transmission lines. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Yehea I. Ismail, Eby G. Friedman, José Luis Neves Dynamic and Short-Circuit Power of CMOS Gates Driving Lossless Transmission Lines. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Lossless Transmission Lines, VLSI, Dynamic, Power, CMOS, Inductance, Short-circuit
11Keith Nabors, Tze-Ting Fang, Hung-Wen Chang, Kenneth S. Kundert Lumped Interconnect Models Via Gaussian Quadrature. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Saed G. Younis, Thomas F. Knight Jr. Non-dissipative rail drivers for adiabatic circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF driver circuits, distributed parameter networks, lumped parameter networks, passive networks, nondissipative rail drivers, adiabatic circuits, CMOS charge recovery logic, energy dissipation per operation, SCRL circuits, rail waveform generation, rail driver circuit, multiple harmonics, harmonic rail driver, passive reactive components, trimmed transmission line segments, CMOS logic circuits, CMOS circuits, harmonics
11Jaijeet S. Roychowdhury, A. Richard Newton, Donald O. Pederson Algorithms for the transient simulation of lossy interconnect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Demos F. Anastasakis, Nanda Gopal, Seok-Yoon Kim, Lawrence T. Pillage Enhancing the stability of asymptotic waveform evaluation for digital interconnect circuit applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Seok-Yoon Kim, Nanda Gopal, Lawrence T. Pillage Time-domain macromodels for VLSI interconnect analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Changsheng Ying, Jun Gu Automated pin grid array package routing on multilayer ceramic substrates. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Tak K. Tang, Michel S. Nakhla Analysis of high-speed VLSI interconnects using the asymptotic waveform evaluation technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Sergio Greco, Carlo Zaniolo Optimization of Linear Logic Programs Using Counting Methods. Search on Bibsonomy EDBT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Lawrence T. Pillage, Xueqing Huang, Ronald A. Rohrer AWEsim: Asymptotic Waveform Evaluation for Timing Analysis. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #492 of 492 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license