The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Marco Caldari, Massimo Conti, Massimo Coppola, Stephane Curaba, Lorenzo Pieralisi, Claudio Turchetti Transaction-Level Models for AMBA Bus Architecture Using SystemC 2.0. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Yunsi Fei, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Energy Estimation for Extensible Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Andreas Zeller Isolating Cause-Effect Chains with AskIgo. Search on Bibsonomy IWPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Gérard Berry, Michael Kishinevsky, Satnam Singh System Level Design and Verification Using a Synchronous Language. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Christophe Lohr, Ludovic Apvrille, Pierre de Saqui-Sannes, Jean-Pierre Courtiat New Operators for the TURTLE Real-Time UML Profile. Search on Bibsonomy FMOODS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Gary Feierbach, Vijay Gupta True Coverage: A Goal of Verification. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF toggle coverage, true coverage, diagnostic strategy, VLSI, Coverage, fault simulation, design verification, stuck faults
16Ramesh Karri, Kaijie Wu 0001 Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Josef Strnadel, Zdenek Kotásek Testability Improvements Based on the Combination of Analytical and Evolutionary Approaches at RT Level. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Andreas Zeller Isolating cause-effect chains from computer programs. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF testing, program comprehension, tracing, automated debugging
16Sasha Novakovsky, Shy Shyman, Ziyad Hanna High capacity and automatic functional extraction tool for industrial VLSI circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Formal Equivalence Verification (FEV), Hardware Description Languages (HDL), Switch Level Analysis, functional abstraction, satisfiability procedures, synthesis, Design For Testability (DFT), logic simulation, Binary Decision Diagrams (BDDs)
16Chao Huang, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha High-level synthesis of distributed logic-memory architectures. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Ivan Blunno, Luciano Lavagno Designing an Asynchronous Microcontroller Using Pipefitter. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jerry C.-Y. Kao, C.-F. Su, Allen C.-H. Wu High-performance FIR generation based on a timing-driven architecture and component selection method. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16A. Castelnuovo, Alessandro Fin, Franco Fummi, F. Sforza Emulation-Based Design Errors Identification. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Narayanan Krishnamurthy, Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham Is State Mapping Essential for Equivalence Checking Custom Memories in Scan-Based Designs? Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Prithviraj Banerjee, Malay Haldar, Anshuman Nayak, Victor Kim, Debabrata Bagchi, Satrajit Pal, Nikhil Tripathi A Behavioral Synthesis Tool for Exploiting Fine Grain Parallelism in FPGAs. Search on Bibsonomy IWDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO: regular expression-based register-transfer level testability analysis and optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha Testing of core-based systems-on-a-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Lionel Bening, Harry Foster Optimizing Multiple EDA Tools within the ASIC Design Flow. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Janette Frigo, Maya B. Gokhale, Dominique Lavenier Evaluation of the streams-C C-to-FPGA compiler: an applications perspective. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA design tools, FPGA, high-level synthesis, configurable computing, hardware-software co-design, silicon compiler
16Ross Smith, Michiel M. Ligthart High-level design for asynchronous logic. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Rob Gerth Model Checking if Your Life Depends on It a View from Intel's Trenches. Search on Bibsonomy SPIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16M. Ernst, Steffen Klupsch, Oliver Hauck, Sorin A. Huss Rapid Prototyping for Hardware Accelerated Elliptic Curve Public-Key Cryptosystems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Byeong Min, Gwan Choi ECC: Extended Condition Coverage for Design Verification Using Excitation and Observation. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Rajiv V. Joshi, Wei Hwang, Andreas Kuehlmann Design Of Provably Correct Storage Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Rupesh S. Shelar, Sacheendra Nath, Jagmohan S. Nanaware Parameterized Reusable Component Library Methodology. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hoon Choi, Myung-Kyoon Yim, Jae Young Lee, Byeong-Whee Yun, Yun-Tae Lee Formal Verification of an Industrial System-on-a-Chip. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Kamal S. Khouri, Niraj K. Jha Leakage Power Analysis and Reduction during Behavioral Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Raul Camposano, Jacob Greidinger, Patrick Groeneveld, Michael Jackson 0004, Lawrence T. Pileggi, Louis Scheffer Design closure (panel session): hope or hype? Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Sofiène Tahar, Xiaoyu Song, Eduard Cerny, Zijian Zhou 0001, Michel Langevin, Otmane Aït Mohamed Modeling and formal verification of the Fairisle ATM switch fabricusing MDGs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical test generation and design for testability methods for ASPPs and ASIPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha A framework for testing core-based systems-on-a-chip. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Marco Brera, Fabrizio Ferrandi, Donatella Sciuto, Franco Fummi Increase the Behavioral Fault Model Accuracy Using High-Level Synthesis Information. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF behavioral fault model, functional TPG
16Grant Martin Design Methodologies for System Level IP. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Michiko Inoue, Takeshi Higashimura, Kenji Noda, Toshimitsu Masuzawa, Hideo Fujiwara A High-Level Synthesis Method for Weakly Testable Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Wen-Jong Fang, Allen C.-H. Wu A hierarchical functional structuring and partitioning approach for multiple-FPGA implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Samit Chaudhuri, Michael Quayle Synthesis using sequential functional modules (SFMs). Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Kevin Lano Reactive System Specification and Refinement. Search on Bibsonomy TAPSOFT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Qian Zhang, Herbert Grünbacher Petri Nets Modeling in Pipelined Microprocessor Design. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Rajiv Jain, Kayhan Küçükçakar, Mitch J. Mlinar, Alice C. Parker Experience with ADAM Synthesis System. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15João Vieira, Nuno Roma, Gabriel Falcão 0001, Pedro Tomás gem5-accel: A Pre-RTL Simulation Toolchain for Accelerator Architecture Validation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Matthew DeLorenzo, Animesh Basak Chowdhury, Vasudev Gohil, Shailja Thakur, Ramesh Karri, Siddharth Garg, Jeyavijayan Rajendran Make Every Move Count: LLM-based High-Quality RTL Code Generation Using MCTS. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Selim Sandal, Ismail Akturk Zero-Shot RTL Code Generation with Attention Sink Augmented Large Language Models. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Lennart M. Reimann, Anschul Prashar, Chiara Ghinami, Rebecca Pelke, Dominik Sisejkovic, Farhad Merchant, Rainer Leupers QTFlow: Quantitative Timing-Sensitive Information Flow for Security-Aware Hardware Design on RTL. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Runxi Wang, Jun-Han Han, Mircea Stan, Xinfei Guo Hot-LEGO: Architect Microfluidic Cooling Equipped 3DICs with Pre-RTL Thermal Simulation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Wenji Fang, Shang Liu, Hongce Zhang, Zhiyao Xie Annotating Slack Directly on Your Verilog: Fine-Grained RTL Timing Evaluation for Early Optimization. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Gen Zhang, Pengfei Wang, Tai Yue, Danjun Liu, Yubei Guo, Kai Lu INSTILLER: Towards Efficient and Realistic RTL Fuzzing. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Mahyar Emami, Thomas Bourgeat, James R. Larus Parendi: Thousand-Way Parallel RTL Simulation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Sahand Kashani, Mahyar Emami, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus A 475 MHz Manycore FPGA Accelerator for RTL Simulation. Search on Bibsonomy FPGA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Purav Bhatt, Dharmik Joshi, Shrikant Jadhav RTL to GDS Implementation and Verification of UART using UVM and OpenROAD. Search on Bibsonomy CCWC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Vinod Viswanath, Kanad Chakraborty DFT Static Verification using Early RTL Exploration and Debug for Mobile SoC and Edge AI Applications. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Haimanti Chakraborty, Ranga Vemuri ROBUST: RTL OBfuscation USing Bi-functional Polymorphic OperaTors. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Adwait Godbole, Kevin Cheang, Yatin A. Manerkar, Sanjit A. Seshia Lifting Micro-Update Models from RTL for Formal Security Analysis. Search on Bibsonomy ASPLOS (2) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Kevin Laeufer, Brandon Fajardo, Abhik Ahuja, Vighnesh Iyer, Borivoje Nikolic, Koushik Sen RTL-Repair: Fast Symbolic Repair of Hardware Design Code. Search on Bibsonomy ASPLOS (3) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Tamon Sadasue, Tsuyoshi Isshiki LLVM-C2RTL: C/C++ Based System Level RTL Design Framework Using LLVM Compiler Infrastructure. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Bijan Alizadeh, Masoud Shiroei Automatic correction of RTL designs using a lightweight partial high level synthesis. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15M. Sazadur Rahman, Rui Guo, Hadi Mardani Kamali, Fahim Rahman, Farimah Farahmandi, Mark M. Tehranipoor ReTrustFSM: Toward RTL Hardware Obfuscation-A Hybrid FSM Approach. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Mohammad Rahmani Fadiheh, Alex Wezel, Johannes Müller, Jörg Bormann, Sayak Ray, Jason M. Fung, Subhasish Mitra, Dominik Stoffel, Wolfgang Kunz An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Fubing Mao, Yapu Guo, Xiaofei Liao, Hai Jin 0001, Wei Zhang 0012, Haikun Liu, Long Zheng 0003, Xu Liu, Zihan Jiang, Xiaohua Zheng Accelerating Loop-Oriented RTL Simulation With Code Instrumentation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Zhe Lin, Tingyuan Liang, Jieru Zhao, Sharad Sinha, Wei Zhang 0012 HL-Pow: Learning-Assisted Pre-RTL Power Modeling and Optimization for FPGA HLS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Syed Asad Alam, David Gregg, Giulio Gambardella, Thomas B. Preußer, Michaela Blott On the RTL Implementation of FINN Matrix Vector Unit. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Hasini Witharana, Aruna Jayasena, Andrew Whigham, Prabhat Mishra 0001 Automated Generation of Security Assertions for RTL Models. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Benzheng Li, Xi Zhang, Hailong You, Zhongdong Qi, Yuming Zhang Machine Learning Based Framework for Fast Resource Estimation of RTL Designs Targeting FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Kinar S, Prashanth K. V, Adithya Hegde, Aditya Subrahmanya Bhat, Narender M Transpiling RTL Pseudo-code of the POWER Instruction Set Architecture to C for Real-time Performance Analysis on Cavatools Simulator. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Mengxi Liu, Bo Zhou 0005, Zimin Zhao, Hyeonseok Hong, Hyun Kim, Sungho Suh, Vítor Fortes Rey, Paul Lukowicz FieldHAR: A Fully Integrated End-to-end RTL Framework for Human Activity Recognition with Neural Networks from Heterogeneous Sensors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Yiran Chen 0001 EDALearn: A Comprehensive RTL-to-Signoff EDA Benchmark for Democratized and Reproducible ML for EDA Research. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ruby Kumari, Jai Gopal Pandey, Abhijit Karmakar An RTL Implementation of the Data Encryption Standard (DES). Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Shang Liu, Wenji Fang, Yao Lu, Qijun Zhang, Hongce Zhang, Zhiyao Xie RTLCoder: Outperforming GPT-3.5 in Design RTL Generation with Our Open-Source Dataset and Lightweight Solution. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Mahyar Emami, Sahand Kashani, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus Manticore: Hardware-Accelerated RTL Simulation with Static Bulk-Synchronous Parallelism. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Wenji Fang, Yao Lu, Shang Liu, Qijun Zhang, Ceyu Xu, Lisa Wu Wills, Hongce Zhang, Zhiyao Xie MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any RTL Design. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yingjie Li, Mingju Liu, Alan Mishchenko, Cunxi Yu Verilog-to-PyG - A Framework for Graph Learning and Augmentation on RTL Designs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Marcelo Orenes-Vera, Margaret Martonosi, David Wentzlaff Using LLMs to Facilitate Formal Verification of RTL. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Amisha Srivastava, Sanjay Das, Navnil Choudhury, Rafail Psiakis, Pedro Henrique Silva, Debjit Pal, Kanad Basu SCAR: Power Side-Channel Analysis at RTL-Level. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Hasini Witharana, Aruna Jayasena, Prabhat Mishra 0001 Sequence-Based Incremental Concolic Testing of RTL Models. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yun-Da Tsai, Mingjie Liu, Haoxing Ren RTLFixer: Automatically Fixing RTL Syntax Errors with Large Language Models. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Sallar Ahmadi-Pour, Pascal Pieper, Rolf Drechsler Virtual-Peripheral-in-the-Loop : A Hardware-in-the-Loop Strategy to Bridge the VP/RTL Design-Gap. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yao Lu, Shang Liu, Qijun Zhang, Zhiyao Xie RTLLM: An Open-Source Benchmark for Design RTL Generation with Large Language Model. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Stefan Bosse Rule-based High-level Hardware-RTL Synthesis of Algorithms, Virtualizing Machines, and Communication Protocols with FPGAs based on Concurrent Communicating Sequential Processes and the ConPro Synthesis Framework. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Seungmin Jung Implementation of Novel GDI D-Flip-Flop for RTL Design. Search on Bibsonomy J. Comput. Sci. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Peitian Pan, Christopher Batten Formal Verification of the Stall Invariant Property for Latency-Insensitive RTL Modules. Search on Bibsonomy MEMOCODE The full citation details ... 2023 DBLP  BibTeX  RDF
15Jisu Kwon, Heuijee Yun, Daejin Park Dynamic MAC Unit Pruning Techniques in Runtime RTL Simulation for Area-Accuracy Efficient Implementation of Neural Network Accelerator. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Sundarakumar Muthukumaran, Aparajithan Nathamuni Venkatesan, Kishore Pula, Ram Venkat Narayanan, Ranga Vemuri, John Marty Emmert Reverse Engineering of RTL Controllers from Look-Up Table Netlists. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Guillem López-Paradís, Brian Li, Adrià Armejach, Stefan Wallentowitz, Miquel Moretó, Jonathan Balkind Fast Behavioural RTL Simulation of 10B Transistor SoC Designs with Metro-Mpi. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Md Rafid Muttaki, Shyvagata Saha, Hadi Mardani Kamali, Fahim Rahman, Mark M. Tehranipoor, Farimah Farahmandi RTLock: IP Protection using Scan-Aware Logic Locking at RTL. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Alberto Bosio, Samuele Germiniani, Graziano Pravadelli, Marcello Traiola Exploiting assertions mining and fault analysis to guide RTL-level approximation. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ziyue Zheng, Yangdi Lyu STSearch: State Tracing-based Search Heuristics for RTL Validation. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Shailja Thakur, Baleegh Ahmad, Zhenxing Fan, Hammond Pearce, Benjamin Tan 0001, Ramesh Karri, Brendan Dolan-Gavitt, Siddharth Garg Benchmarking Large Language Models for Automated Verilog RTL Code Generation. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Richard Ruzicka, Václav Simek, Jan Nevoral Polymorphic RTL Computational Elements. Search on Bibsonomy DSD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Kexing Zhou, Yun Liang 0001, Yibo Lin, Runsheng Wang, Ru Huang Khronos: Fusing Memory Access for Improved Hardware RTL Simulation. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Fares Elsabbagh, Shabnam Sheikhha, Victor A. Ying, Quan M. Nguyen, Joel S. Emer, Daniel Sánchez 0003 Accelerating RTL Simulation with Hardware-Software Co-Design. Search on Bibsonomy MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Madhur Kumar, Deepank Grover, Tarun Sharma, Sujay Deb NoxyGen: A Network-On-Chip RTL Generator and Validation Tool. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Haoyi Wang, Qiang Zhou 0001, Yici Cai Static Probability Analysis Guided RTL Hardware Trojan Test Generation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yue Cheng, Hongji Zou, Jiayu He, Chen Chen, Tun Li, Han Long MMFuzz: Towards Enhancing RTL Fuzz Testing Using Metric Feedbacks Based on Markov Chain. Search on Bibsonomy ATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Wilbur L. Myrick, Nobuyasu Shiga, Julian St. James, Ahmad Byagowi Exploring Wireless Clock Synchronization with OCP-TAP Time Cards and RTL-SDRs. Search on Bibsonomy ISPCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Zhuanhao Wu, Maya B. Gokhale, Scott Lloyd, Hiren D. Patel SCCL: An open-source SystemC to RTL translator. Search on Bibsonomy FCCM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Myeongjin Kang, Nayoung Kwon, Seungmin Lee, Daejin Park Fast Bit Inversion Vulnerability Pre-estimation using Tcl and UPF in RTL Simulation Runtime. Search on Bibsonomy ICTC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Nayoung Kwon, Daejin Park Lightweighted Shallow CTS Techniques for Checking Clock Tree Synthesizable Paths and Optimizing Clock Tree in RTL Design Time. Search on Bibsonomy ICTC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Tobias Jauch, Alex Wezel, Mohammad Rahmani Fadiheh, Philipp Schmitz, Sayak Ray, Jason M. Fung, Christopher W. Fletcher, Dominik Stoffel, Wolfgang Kunz Secure-by-Construction Design Methodology for CPUs: Implementing Secure Speculation on the RTL. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yingjie Li, Mingju Liu, Alan Mishchenko, Cunxi Yu Invited Paper: Verilog-to-PyG - A Framework for Graph Learning and Augmentation on RTL Designs. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Wenji Fang, Yao Lu, Shang Liu, Qijun Zhang, Ceyu Xu, Lisa Wu Wills, Hongce Zhang, Zhiyao Xie MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any RTL Design. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license