The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Davide Sabena, Matteo Sonza Reorda, Luca Sterpone Partition-Based Faults Diagnosis of a VLIW Processor. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Nathaniel A. Conos, Saro Meguerdichian, Miodrag Potkonjak Gate Sizing Under Uncertainty. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Andreas Minwegen, Dominik Auras, Gerd Ascheid A Flexible ASIC for Time-Domain Decision-Directed Channel Estimation in MIMO-OFDM Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Seogoo Lee, Andreas Gerstlauer Fine Grain Precision Scaling for Datapath Approximations in Digital Signal Processing Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Kyprianos Papadimitriou, Sotiris Thomas, Apostolos Dollas An FPGA-Based Real-Time System for 3D Stereo Matching, Combining Absolute Differences and Census with Aggregation and Belief Propagation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Mohand Bentobache, Ahcène Bounceur, Reinhardt Euler, Salvador Mir, Yann Kieffer Minimizing Test Frequencies for Linear Analog Circuits: New Models and Efficient Solution Methods. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Ali Fazli Yeknami, Atila Alvandpour Low-Power Low-Voltage ΔΣ Modulator Using Switched-Capacitor Passive Filters. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Michael Schaffner, Pascal A. Hager, Lukas Cavigelli, Z. Fang, Pierre Greisen, Frank K. Gürkaynak, Aljoscha Smolic, Hubert Kaeslin, Luca Benini A Complete Real-Time Feature Extraction and Matching System Based on Semantic Kernels Binarized. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Kosuke Oshima, Takeshi Matsumoto, Masahiro Fujita Debugging Methods Through Identification of Appropriate Functions for Internal Gates. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Sk Subidh Ali, Samah Mohamed Saeed, Ozgur Sinanoglu, Ramesh Karri New Scan-Based Attack Using Only the Test Mode and an Input Corruption Countermeasure. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26José L. Ayala, David Atienza Alonso, Ricardo Reis 0001 (eds.) VLSI-SoC: Forward-Looking Trends in IC and Systems Design - 18th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2010, Madrid, Spain, September 27-29, 2010, Revised Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Salvador Mir, Chi-Ying Tsui, Ricardo Reis 0001, Oliver C. S. Choy (eds.) VLSI-SoC: Advanced Research for Systems on Chip - 19th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2011, Hong Kong, China, October 3-5, 2011, Revised Selected Papers Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Giulia Beanato, Igor Loi, Giovanni De Micheli, Yusuf Leblebici, Luca Benini Configurable Low-Latency Interconnect for Multi-core Clusters. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Michael Muehlberghuber, Christoph Keller, Frank K. Gürkaynak, Norbert Felber FPGA-Based High-Speed Authenticated Encryption System. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Pierre Greisen, Michael Schaffner, Danny Luu, Val Mikos, Simon Heinzle, Frank K. Gürkaynak, Aljoscha Smolic Spatially-Varying Image Warping: Evaluations and VLSI Implementations. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Zhibin Xiao, Bevan M. Baas A Hexagonal Processor and Interconnect Topology for Many-Core Architecture with Dense On-Chip Networks. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Andy Motten, Luc Claesen, Yun Pan Trinocular Stereo Vision Using a Multi Level Hierarchical Classification Structure. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Neil Di Spigna, Daniel Schinke, Srikant Jayanti, Veena Misra, Paul D. Franzon Simulation and Experimental Characterization of a Unified Memory Device with Two Floating-Gates. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Jeremy Constantin, Ahmed Yasir Dogan, Oskar Andersson, Pascal Andreas Meinerzhagen, Joachim Neves Rodrigues, David Atienza, Andreas Burg An Ultra-Low-Power Application-Specific Processor with Sub-VT Memories for Compressed Sensing. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Seokjoong Kim, Matthew R. Guthaus SEU-Aware Low-Power Memories Using a Multiple Supply Voltage Array Architecture. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Qiuling Zhu, Larry T. Pileggi, Franz Franchetti A Smart Memory Accelerated Computed Tomography Parallel Backprojection. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Davide Sabena, Luca Sterpone, Matteo Sonza Reorda On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Anelise Kologeski, Caroline Concatto, Fernanda Lima Kastensmidt, Luigi Carro Fault-Tolerant Techniques to Manage Yield and Power Constraints in Network-on-Chip Interconnections. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Farhad Alibeygi Parsan, Scott C. Smith CMOS Implementation of Threshold Gates with Hysteresis. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Jürgen Becker 0001, Marcelo O. Johann, Ricardo Reis 0001 (eds.) VLSI-SoC: Technologies for Systems Integration - 17th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2009, Florianópolis, Brazil, October 12-14, 2009, Revised Selected Papers Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Levent Aksoy, Eduardo A. C. da Costa, Paulo F. Flores, José Monteiro 0001 Multiplierless Design of Linear DSP Transforms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Toshiyuki Tsuchiya, Hiroyuki Tokusaki, Yoshikazu Hirai, Koji Sugano, Osamu Tabata Self-dependent Equivalent Circuit Modeling of Electrostatic Comb Transducers for Integrated MEMS. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Huan Chen 0001, João Marques-Silva 0001 Improvements to Satisfiability-Based Boolean Function Bi-Decomposition. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26J. Gerardo García-Sánchez, José M. de la Rosa 0001 Efficient Multi-rate Hybrid Continuous-Time/Discrete-Time Cascade 2-2 Sigma-Delta Modulators for Wideband Telecom. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Xiaojin Zhao, Amine Bermak, Farid Boussaïd A Low Cost CMOS Polarimetric Ophthalmoscope Scheme for Cerebral Malaria Diagnostics. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Xin Ming, Ze-kun Zhou, Bo Zhang 0027 A Low-Power Ultra-Fast Capacitor-Less LDO with Advanced Dynamic Push-Pull Techniques. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Brendan Mullane, Vincent O'Brien A 100dB SFDR 0.5V pk-pk Band-Pass DAC Implemented on a Low Voltage CMOS Process. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Ernesto Sánchez 0001, Matteo Sonza Reorda, Alberto Paolo Tonda On the Functional Test of Branch Prediction Units Based on the Branch History Table Architecture. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Wing-Hung Ki, Yan Lu 0002, Feng Su, Chi-Ying Tsui Analysis and Design Strategy of On-Chip Charge Pumps for Micro-power Energy Harvesting Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Ji Kong, Peilin Liu A novel reconfigurable scratchpad memory for audio applications on cost-effective SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Luc Claesen, Peter Vandoren, Tom Van Laerhoven, Andy Motten, Domien Nowicki, Tom De Weyer, Frank Van Reeth, Eddy Flerackers Smart camera SoC system for interactive real-time real-brush based digital painting systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Andy Motten, Luc Claesen A binary adaptable window SoC architecture for a stereo vision based depth field processor. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Gabriel Caffarena, Angel Fernandez Herrero, Juan A. López, Carlos Carreras Fast Fixed-Point Optimization of DSP Algorithms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Rafik Khereddine, Louay Abdallah, Emmanuel Simeu, Salvador Mir, Fabio Cenni Adaptive Logical Control of RF LNA Performances for Efficient Energy Consumption. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Tsung-Yi Ho, Sheng-Hung Liu Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Eliyah Kilada, Kenneth S. Stevens Design and Verification of Lazy and Hybrid Implementations of the SELF Protocol. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Maher Jridi, Ayman Alfalou Joint Optimization of Low-Power DCT Architecture and Efficient Quantization Technique for Embedded Image Compression. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Aaron V. T. Do, Chirn Chye Boon, Manthena Vamshi Krishna, Manh Anh Do, Kiat Seng Yeo A 1-V CMOS Ultralow-Power Receiver Front End for the IEEE 802.15.4 Standard Using Tuned Passive Mixer Output Pole. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Christoph Studer, Markus Wenk, Andreas Burg VLSI Implementation of Hard- and Soft-Output Sphere Decoding for Wide-Band MIMO Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Luc Claesen, Peter Vandoren, Tom Van Laerhoven, Andy Motten, Fabian Di Fiore, Frank Van Reeth, Jing Liao 0001, Jinhui Yu Smart Camera System-on-Chip Architecture for Real-Time Brush Based Interactive Painting Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Christian Benkeser, Qiuting Huang Design and Optimization of a Digital Baseband Receiver ASIC for GSM/EDGE. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Rémi Busseuil, Gabriel Marchesan Almeida, Luciano Ost, Sameer Varyani, Gilles Sassatelli, Michel Robert Adaptation Strategies in Multiprocessors System on Chip. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Oscar Alonso, Ángel Diéguez Control Electronics Integration toward Endoscopic Capsule Robot Performing Legged Locomotion and Illumination. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Hailong Jiao, Volkan Kursun Tri-mode Operation for Noise Reduction and Data Preservation in Low-Leakage Multi-Threshold CMOS Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Manthena Vamshi Krishna, Xuan Jie, Manh Anh Do, Chirn Chye Boon, Kiat Seng Yeo, Aaron V. T. Do A 1.8-V 3.6-mW 2.4-GHz Fully Integrated CMOS Frequency Synthesizer for the IEEE 802.15.4. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Oussama Elissati, Sébastien Rieubon, Eslam Yahya, Laurent Fesquet Self-Timed Rings: A Promising Solution for Generating High-Speed High-Resolution Low-Phase Noise Clocks. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Alzemiro Henrique Lucas da Silva, Alexandre M. Amory, Fernando Gehm Moraes Crosstalk Fault Tolerant NoC: Design and Evaluation. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Ian O'Connor, Junchen Liu, Kotb Jabeur, Nataliya Yakymets, Renaud Daviot, David Navarro, Pierre-Emmanuel Gaillardon, Fabien Clermidy, Maimouna Amadou, Gabriela Nicolescu Emerging Technologies and Nanoscale Computing Fabrics. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26António Gusmão, Luís Miguel Silveira, José Monteiro 0001 Power Macro-Modeling Using an Iterative LS-SVM Method. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Dieison Antonello Deprá, Sergio Bampi Techniques for Architecture Design for Binary Arithmetic Decoder Engines Based on Bitstream Flow Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Amine Dehbaoui, Victor Lomné, Philippe Maurine, Lionel Torres, Michel Robert Enhancing Electromagnetic Attacks Using Spectral Coherence Based Cartography. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Yann Oddos, Katell Morin-Allory, Dominique Borrione From Assertion-Based Verification to Assertion-Based Synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Ayse Kivilcim Coskun, José L. Ayala, David Atienza, Tajana Simunic Rosing Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Gustavo Girão, Daniel Barcelos, Flávio Rech Wagner Performance and Energy Evaluation of Memory Organizations in NoC-Based MPSoCs under Latency and Task Migration. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Sergio Bampi, Ricardo Reis 0001 Challenges and Emerging Technologies for System Integration beyond the End of the Roadmap of Nano-CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Petru Bogdan Bacinschi, Manfred Glesner A Multistep Extrapolated S-Parameter Model for Arbitrary On-Chip Interconnect Structures. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Ricardo Augusto da Luz Reis, Adam Osseiran, Hans-Jörg Pfleiderer (eds.) VLSI-SoC: From Systems To Silicon, Proceedings of IFIP TC 10, WG 10.5, Thirteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC 2005), October 17-19, 2005, Perth, Australia Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  BibTeX  RDF
26Brian Cody, Justin Madigan, Spencer MacDonald, Kenneth W. Hsu High speed SOC design for blowfish cryptographic algorithm. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre Compression-based SoC Test Infrastructures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Manfred Glesner, Ricardo Augusto da Luz Reis, Leandro Soares Indrusiak, Vincent John Mooney III, Hans Eveking (eds.) VLSI-SOC: From Systems to Chips - IFIP TC 10/ WG 10.5 Twelfth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC 2003), December 1-3, 2003, Darmstadt, Germany Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Jacques Benkowski The system is really in the SoC : new investment opportunities. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Paul D. Franzon, David Nackashi, Christian Amsinck, Neil Di Spigna, Sachin Sonkusale Molecular Electronics - Devices and Circuits Technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Achraf Dhayni, Salvador Mir, Libor Rufer, Ahcène Bounceur On-chip Pseudorandom Testing for Linear and Nonlinear MEMS. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Leonardo Londero de Oliveira, Cristiano Santos, Daniel Lima Ferrão, Eduardo A. C. da Costa, José Monteiro 0001, João Baptista dos Santos Martins, Sergio Bampi, Ricardo Augusto da Luz Reis A Comparison of Layout Implementations of Pipelined and Non-Pipelined Signed Radix-4 Array Multiplier and Modified Booth Multiplier Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Thilo Pionteck, Thomas Stiefmeier, Thorsten Staake, Manfred Glesner On The Design of A Dynamically Reconfigurable Function-Unit for Error Detection and Correction. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Cristiano Lazzari, Lorena Anghel, Ricardo Reis 0001 A Transistor Placement Technique Using Genetic Algorithm and Analytical Programming. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Alberto Donato, Fabrizio Ferrandi, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto Caronte: A methodology for the Implementation of Partially dynamically Self-Reconfiguring Systems on FPGA Platforms. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jun-Cheol Park, Vincent John Mooney III Pareto Points in SRAM Design Using the Sleepy Stack Approach. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Milos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici A Methodology for Reliability Enhancement of Nanometer-Scale Digital Systems Based on a-priori Functional Fault- Tolerance Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26César A. M. Marcon, José Carlos S. Palma, Ney Laert Vilar Calazans, Fernando Gehm Moraes, Altamiro Amadeu Susin, Ricardo Augusto da Luz Reis Modeling the Traffic Effect for the Application Cores Mapping Problem onto NoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Shankar Mahadevan, Federico Angiolini, Jens Sparsø, Luca Benini, Jan Madsen A Traffic Injection Methodology with Support for System-Level Synchronization. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26G. Fraidy Bouesse, Marc Renaudin, Gilles Sicard Improving DPA Resistance of Quasi Delay Insensitive Circuits Using Randomly Time-shifted Acknowledgment Signals. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Bertrand Folco, Vivian Brégier, Laurent Fesquet, Marc Renaudin Technology Mapping for Area Optimized Quasi Delay Insensitive Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Markus Koester, Heiko Kalte, Mario Porrmann, Ulrich Rückert 0001 Defragmentation Algorithms for Partially Reconfigurable Hardware. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Chul Kim, A. M. Rassau, Stefan Lachowicz, Saeid Nooshabadi, Kamran Eshraghian 3D-SoftChip: A Novel 3D Vertically Integrated Adaptive Computing System. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Rüdiger Ebendt, Rolf Drechsler Exact BDD Minimization for Path-Related Objective Functions. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jerome Quartana, Laurent Fesquet, Marc Renaudin Modular Asynchronous Network-on-Chip: Application to GALS Systems Rapid Prototyping. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Nabil Badereddine, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Christian Landrault Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Muhsen Aljada, Kamal E. Alameh, Adam Osseiran, Khalid Al-Begain A Novel MicroPhotonic Structure for Optical Header Recognition. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26João M. S. Silva, L. Miguel Silveira Issues in Model Reduction of Power Grids. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Daniel Mesquita, Jean-Denis Techer, Lionel Torres, Michel Robert, Guy Cathébras, Gilles Sassatelli, Fernando Gehm Moraes Current Mask Generation: an Analog Circuit to Thwart DPA Attacks. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Youngchul Cho, Ganghee Lee, Kiyoung Choi, Sungjoo Yoo, Nacer-Eddine Zergainoh Scheduling and Timing Analysis of HW/SW On-Chip Communication in MP SoC Design. Search on Bibsonomy Embedded Software for SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Sungjoo Yoo, Ahmed Amine Jerraya Introduction to Hardware Abstraction Layers for SoC. Search on Bibsonomy Embedded Software for SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Imed Moussa, Thierry Grellier, Giang Nguyen Exploring SW Performance Using SoC Transaction-Level Modeling. Search on Bibsonomy Embedded Software for SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Shervin Sharifi, Mohammad Hosseinabady, Zainalabedin Navabi Selective Trigger Scan Architecture for Reducing Power, Time and Data Volume in SoC Testing. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Timm Ostermann, Wolfgang Gut, Christian Bacher, Bernd Deutschmann Measures to Reduce the Electromagnetic Emission of a SoC. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Michael S. McCorquodale, Eric D. Marsman, Robert M. Senger, Fadi H. Gebara, Richard B. Brown Microsystem and SoC Design with UMIPS. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Hans-Joachim Stolberg, Mladen Berekovic, Lars Friebe, Sören Moch, Mark Bernd Kulaczewski, Peter Pirsch HiBRID-SoC: A Multi-Core System-on-Chip Architecture for Multimedia Signal Processing. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Wei Zou, Chris Chu, Sudhakar M. Reddy, Irith Pomeranz Optimizing SOC Test Resources using Dual Sequences. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Zahid Khan, Tughrul Arslan, Ahmet T. Erdogan Crosstalk Immune Coding from Area and Power Perspective for high performance AMBA based SoC systems. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Arkadiy Morgenshtein, Michael Moreinis, Israel A. Wagner, Avinoam Kolodny Logic Gates as Repeaters (LGR) for Timing Optimization of SoC Interconnects. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
26Jürgen Becker 0001, Alexander Thomas, Maik Scheer Asynchronous Integration of Coarse-Grained Reconfigurable XPP-Arrays Into Pipelined Risc Processor Datapath. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Alexandre M. Amory, Leandro A. Oliveira, Fernando Gehm Moraes Software-Based Test for Nonprogrammable Cores in Bus-Based System-On-Chip Architectures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Thilo Pionteck, Lukusa D. Kabulepa, Manfred Glesner Exploring the Capabilities of Reconfigurable Hardware for OFDM-Based Wlans. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Vijay Degalahal, Rajaraman Ramanarayanan, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Effect of Power Optimizations on Soft Error Rate. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license