The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cmps with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2004 (18) 2005 (20) 2006 (38) 2007 (61) 2008 (58) 2009 (61) 2010 (43) 2011 (34) 2012 (24) 2013 (23) 2014 (19) 2015-2016 (33) 2017-2018 (20) 2019-2022 (16) 2023 (1)
Publication types (Num. hits)
article(100) inproceedings(365) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 391 occurrences of 212 keywords

Results
Found 469 publication records. Showing 469 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi On-Chip Optical Technology in Future Bus-Based Multicore Designs. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optical technology, snoopy bus, chip multiprocessor, on-chip interconnect
11Vassos Soteriou, Noel Eisley, Hangsheng Wang, Bin Li 0018, Li-Shiuan Peh Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Michael Gschwind The Cell Broadband Engine: Exploiting Multiple Levels of Parallelism in a Chip Multiprocessor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compute-transfer parallelism, multi-level application parallelism, Chip multiprocessor, Cell Broadband Engine, heterogeneous chip multiprocessor
11Niti Madan, Rajeev Balasubramonian Power Efficient Approaches to Redundant Multithreading. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant multi-threading (RMT), dynamic frequency scaling, Reliability, power, soft errors, transient faults, heterogeneous chip multiprocessors
11Vassos Soteriou, Noel Eisley, Li-Shiuan Peh Software-directed power-aware interconnection networks. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software-directed power reduction, simulation, interconnection networks, dynamic voltage scaling, on-chip networks, communication links
11Evgeny Bolotin, Zvika Guz, Israel Cidon, Ran Ginosar, Avinoam Kolodny The Power of Priority: NoC Based Distributed Cache Coherency. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Kyueun Yi, Jean-Luc Gaudiot Architectural Implications of Cache Coherence Protocols with Network Applications on Chip MultiProcessors. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MLP-Aware Dynamic Cache Partitioning. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alexandra Fedorova, Margo I. Seltzer, Michael D. Smith 0001 Improving Performance Isolation on Chip Multiprocessors via an Operating System Scheduler. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Christopher LaFrieda, Engin Ipek, José F. Martínez, Rajit Manohar Utilizing Dynamically Coupled Cores to Form a Resilient Chip Multiprocessor. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Njuguna Njoroge, Jared Casper, Sewook Wee, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun ATLAS: a chip-multiprocessor with transactional memory support. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Meeta Sharma Gupta, Jarod L. Oatley, Russ Joseph, Gu-Yeon Wei, David M. Brooks Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Changkyu Kim, Simha Sethumadhavan, M. S. Govindan, Nitya Ranganathan, Divya Gulati, Doug Burger, Stephen W. Keckler Composable Lightweight Processors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Cheng Wang 0013, Ho-Seop Kim, Youfeng Wu, Victor Ying Compiler-Managed Software-based Redundant Multi-Threading for Transient Fault Detection. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alaa R. Alameldeen, David A. Wood 0001 Interactions Between Compression and Prefetching in Chip Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jörg-Christian Niemann, Christian Liß, Mario Porrmann, Ulrich Rückert 0001 A Multiprocessor Cache for Massively Parallel SoC Architectures. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Andrew Over, Bill Clarke, Peter E. Strazdins A Comparison of Two Approaches to Parallel Simulation of Multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF speedup analysis, Sparc Sulima, UltraSPARC IIICu-based multiprocessor systems, careful locking, simulation time quantum, serial simulation, load-balancing, parallel simulation, parallel discrete event simulation, interconnect model, NAS parallel benchmarks
11Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Adaptive L2 Cache for Chip Multiprocessors. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Srinivas Vadlamani, Stephen F. Jenks Architectural Considerations for Efficient Software Execution on Parallel Microprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez A Reconfigurable Chip Multiprocessor Architecture to Accommodate Software Diversity. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Martin Karlsson, Erik Hagersten Conserving Memory Bandwidth in Chip Multiprocessors with Runahead Execution. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jichuan Chang, Gurindar S. Sohi Cooperative cache partitioning for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cooperative cache partitioning, multiple time-sharing partitions, QoS, fairness, CMP
11Ruibin Xu, Rami G. Melhem, Daniel Mossé Energy-Aware Scheduling for Streaming Applications on Chip Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Amit Kumar 0002, Partha Kundu, Arvind P. Singh, Li-Shiuan Peh, Niraj K. Jha A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Antonio Flores, Juan L. Aragón, Manuel E. Acacio Sim-PowerCMP: A Detailed Simulator for Energy Consumption Analysis in Future Embedded CMP Architectures. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope High Level Modelling and Design For a Microthreaded Scheduler to Support Microgrids. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ali-Reza Adl-Tabatabai, Christos Kozyrakis, Bratin Saha Transactional programming in a multi-core environment. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel programming, transactional memory, atomicity, hardware architecture
11Christopher J. Hughes, Radek Grzeszczuk, Eftychios Sifakis, Daehyun Kim 0001, Sanjeev Kumar, Andrew Selle, Jatin Chhugani, Matthew J. Holliman, Yen-Kuang Chen Physical simulation for animation and visual effects: parallelization and characterization for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallelization, CMP, characterization, physical simulation
11Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio, Pablo Prieto Rotary router: an efficient architecture for CMP interconnection networks. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection networks, router architecture, chip multi-processors
11Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparing memory systems for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, chip multiprocessors, locality optimizations, coherent caches
11Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez Core fusion: accommodating software diversity in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, reconfigurable architectures, software diversity
11Jeffery A. Brown, Rakesh Kumar 0002, Dean M. Tullsen Proximity-aware directory-based coherence for multi-core processor architectures. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, coherence
11Matteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa Efficient Synchronization for Embedded On-Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Francisco J. Villa, Manuel E. Acacio, José M. García 0001 On the Evaluation of Dense Chip-Multiprocessor Architectures. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Vassos Soteriou, Hangsheng Wang, Li-Shiuan Peh A Statistical Traffic Model for On-Chip Interconnection Networks. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Kyle J. Nesbit, Nidhi Aggarwal, James Laudon, James E. Smith 0001 Fair Queuing Memory Systems. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Keshavan Varadarajan, S. K. Nandy 0001, Vishal Sharda, Bharadwaj Amrutur, Ravi R. Iyer 0001, Srihari Makineni, Donald Newell Molecular Caches: A caching structure for dynamic creation of application-specific Heterogeneous cache regions. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jian Li 0059, José F. Martínez Dynamic power-performance adaptation of parallel computation on chip multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11David A. Penry, Daniel Fay, David Hodgdon, Ryan Wells, Graham Schelle, David I. August, Dan Connors Exploiting parallelism and structure to accelerate the simulation of chip multi-processors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Haakon Dybdahl, Per Stenström, Lasse Natvig A Cache-Partitioning Aware Replacement Policy for Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Gregory Buehrer, Yen-Kuang Chen, Srinivasan Parthasarathy 0001, Anthony D. Nguyen, Amol Ghoting, Daehyun Kim 0001 Efficient pattern mining on shared memory systems: implications for chip multiprocessor architectures. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Victor Luchangco, Daniel Nussbaum, Nir Shavit A Hierarchical CLH Queue Lock. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ali El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas Compatible phase co-scheduling on a CMP of multi-threaded processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Blair Fort, Davor Capalija, Zvonko G. Vranesic, Stephen Dean Brown A Multithreaded Soft Processor for SoPC Area Reduction. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Noel Eisley, Vassos Soteriou, Li-Shiuan Peh High-level power analysis for multi-core chips. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor (CMP), multi-core, power analysis, system-on-a-chip (SoC)
11Pavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten Modeling Cache Sharing on Chip Multiprocessor Architectures. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Li Yang 0001, Lu Peng 0001 SecCMP: a secure chip-multiprocessor architecture. Search on Bibsonomy ASID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF security, fault-tolerance, encryption, chip-multiprocessor
11Jack Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker Fast synchronization for chip multiprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Neil Vachharajani, Matthew Iyer, Chinmay Ashok, Manish Vachharajani, David I. August, Daniel A. Connors Chip multi-processor scalability for single-threaded applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jian Li 0059, José F. Martínez Power-performance considerations of parallel computing on chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Voltage/frequency scaling, granularity, parallel efficiency
11Ben Wun, Jeremy Buhler, Patrick Crowley Exploiting Coarse-Grained Parallelism to Accelerate Protein Motif Finding with a Network Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Austen McDonald, JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Brian D. Carlstrom, Lance Hammond, Christos Kozyrakis, Kunle Olukotun Characterization of TCC on Chip-Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Effective Instruction Prefetching in Chip Multiprocessors for Modern Commercial Applications. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Francisco J. Villa, Manuel E. Acacio, José M. García 0001 Memory Subsystem Characterization in a 16-Core Snoop-Based Chip-Multiprocessor Architecture. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Thomas Y. Yeh, Glenn Reinman Fast and fair: data-stream quality of service. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NUCA, non-uniform access, per thread degradation, cluster, adaptive, cache, distributed, data-stream, partition, embedded, CMP, chip multiprocessor, migration, bandwidth, QOS, phase, memory wall, PDAS
11Björn Jäger, Jörg-Christian Niemann, Ulrich Rückert 0001 Analytical approach to massively parallel architectures for nanotechnologies. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Martin Karlsson, Erik Hagersten, Kevin E. Moore, David A. Wood 0001 Exploring Processor Design Options for Java-Based Middleware. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, Middleware, CMP, workloads, ILP, Characterization
11Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Bob Kuhn Productivity in HPC Clusters. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Chun Liu 0001, Anand Sivasubramaniam, Mahmut T. Kandemir Organizing the Last Line of Defense before Hitting the Memory Wall for CMP. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Lance Hammond, Vicky Wong, Michael K. Chen, Brian D. Carlstrom, John D. Davis, Ben Hertzberg, Manohar K. Prabhu, Honggo Wijaya, Christos Kozyrakis, Kunle Olukotun Transactional Memory Coherence and Consistency. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Zoran Radovic, Erik Hagersten Hierarchical Backoff Locks for Nonuniform Communication Architectures. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Michael K. Chen, Kunle Olukotun The Jrpm System for Dynamically Parallelizing Java Programs. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Chong-liang Ooi, Seon Wook Kim, Il Park 0001, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Marcelo H. Cintra, José F. Martínez, Josep Torrellas Architectural support for scalable speculative parallelization in shared-memory multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11John L. Gustafson Reevaluating Amdahl's Law. Search on Bibsonomy Commun. ACM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #469 of 469 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license