|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 10146 occurrences of 4784 keywords
|
|
|
Results
Found 11924 publication records. Showing 11924 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Tom Lovett, Russell M. Clapp |
STiNG: A CC-NUMA Computer System for the Commercial Marketplace. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 308-317, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
13 | Kestutis Ivinskis |
High Availability of Commercial Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1995 ACM SIGMOD International Conference on Management of Data, San Jose, California, USA, May 22-25, 1995., pp. 433-434, 1995, ACM Press, 978-0-89791-731-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
13 | Thomas B. Horton |
Using Commercial CASE Environments to Teach Software Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSEE ![In: Software Engineering Education, 7th SEI CSEE Conference, San Antonio, Texas, USA, January 5-7, 1994, Proceedings, pp. 97-115, 1994, Springer, 3-540-57461-1. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
13 | Janusz Zalewski |
Cohesive Use of Commercial Tools in a Classroom. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSEE ![In: Software Engineering Education, 7th SEI CSEE Conference, San Antonio, Texas, USA, January 5-7, 1994, Proceedings, pp. 65-75, 1994, Springer, 3-540-57461-1. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
13 | Moshe Krieger, S. Lemire |
Restricted object based design of event driven commercial software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1994 Conference of the Centre for Advanced Studies on Collaborative Research, October 31 - November 3, 1994, Toronto, Ontario, Canada, pp. 35, 1994, IBM. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
13 | Don B. Johnson, Stephen M. Matyas, An V. Le, John D. Wilkins |
Design of the Commercial Data Masking Facility Data Privacy Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: CCS '93, Proceedings of the 1st ACM Conference on Computer and Communications Security, Fairfax, Virginia, USA, November 3-5, 1993., pp. 93-96, 1993, ACM, 0-89791-629-8. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
13 | Rajeev Jog, Philip L. Vitale, James R. Callister |
Performance Evaluation of a Commercial Cache-Coherent Shared Memory Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1990 ACM SIGMETRICS conference on Measurement and modeling of computer systems, University of Colorado, Boulder, Colorado, USA, May 22-25, 1990, pp. 173-182, 1990, ACM, 0-89791-359-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
13 | P. David Coward |
Determining path feasibility for commercial programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 23(3), pp. 93-101, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
13 | Wendy Rauch-Hindin |
A Guide to the Major Commercial AI Issues and Concerns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGBDP-SIGCPR ![In: Proceedings of the 1987 ACM SIGBDP-SIGCPR Conference, March 5 - 6, 1987, Coral Gables, FL, USA, pp. 45-57, 1987, ACM, 0-89791-222-5. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
13 | Don S. Batory |
Modeling the Storage Architectures of Commercial Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 10(4), pp. 463-528, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
13 | Martin Granier |
Critical comparative analysis of the major commercial IS&R systems (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 13th ACM Annual Conference on Computer Science, New Orleans, Louisiana, USA, 1985, pp. 417, 1985, ACM, 0-89791-150-4. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
13 | Don S. Batory |
Conceptual-To-Internal Mappings in Commercial Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Third ACM SIGACT-SIGMOD Symposium on Principles of Database Systems, April 2-4, 1984, Waterloo, Ontario, Canada, pp. 70-78, 1984, ACM, 0-89791-128-8. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
13 | Faye C. Budlong |
Commercial and military software documentation: different steps to a common goal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1984 National Computer Conference, 9-12 July 1984, Las Vegas, Nevada, USA, pp. 389-394, 1984, AFIPS Press, 0-88283-043-0. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
13 | C. W. Lybrook |
Quality assurance in a large commercial data processing installation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1982 National Computer Conference, 7-10 June, 1982, Houston, Texas, USA, pp. 415-426, 1982, AFIPS Press, 0-88283-035-X. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
13 | Thomas B. Kelley |
Rounding problems in commercial data processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 7(11), pp. 654-656, 1964. The full citation details ...](Pics/full.jpeg) |
1964 |
DBLP DOI BibTeX RDF |
|
11 | Yona Raekow, Christian Simmendinger, Ottmar Krämer-Fuhrmann |
License management in grid and high performance computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Res. Dev. ![In: Comput. Sci. Res. Dev. 23(3-4), pp. 275-281, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
License Management, FLEXNet, Grid Computing, High Performance Computing |
11 | Michael Brown, Cyrus Bazeghi, Matthew R. Guthaus, Jose Renau |
Measuring and modeling variabilityusing low-cost FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 286, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
variability |
11 | Jun Yan 0001, Ning Liu 0001, Gang Wang 0010, Wen Zhang, Yun Jiang, Zheng Chen 0001 |
How much can behavioral targeting help online advertising? ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 18th International Conference on World Wide Web, WWW 2009, Madrid, Spain, April 20-24, 2009, pp. 261-270, 2009, ACM, 978-1-60558-487-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
behavioral targeting (bt), click-through rate (ctr)., online advertising, user segmentation |
11 | Ariel Fuxman, Anitha Kannan, Andrew B. Goldberg, Rakesh Agrawal 0001, Panayiotis Tsaparas, John C. Shafer |
Improving classification accuracy using automatically extracted training data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 15th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Paris, France, June 28 - July 1, 2009, pp. 1145-1154, 2009, ACM, 978-1-60558-495-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
automatically labeled data, classification, query intent |
11 | Jim M. Brandt, Ann C. Gentile, Jackson R. Mayo, Philippe P. Pébay, Diana C. Roe, David C. Thompson 0001, Matthew Wong |
Resource monitoring and management with OVIS to enable HPC in cloud computing environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
11 | Javier San Agustin, Henrik H. T. Skovsgaard, John Paulin Hansen, Dan Witzner Hansen |
Low-cost gaze interaction: ready to deliver the promises. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Proceedings of the 27th International Conference on Human Factors in Computing Systems, CHI 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009, pp. 4453-4458, 2009, ACM, 978-1-60558-247-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low-cost gaze tracking, performance evaluation, universal access, gaze interaction, eye typing |
11 | Kris Ven, Jan Verelst |
The Importance of External Support in the Adoption of Open Source Server Software. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
OSS ![In: Open Source Ecosystems: Diverse Communities Interacting, 5th IFIP WG 2.13 International Conference on Open Source Systems, OSS 2009, Skövde, Sweden, June 3-6, 2009. Proceedings, pp. 116-128, 2009, Springer, 978-3-642-02031-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
external support, OSS community, Open source software, knowledge, adoption, assimilation |
11 | Luis Fernando Castillo, Manuel González Bedia, Ana L. Uribe |
RecMas: A Multiagent System Socioconfiguration Recommendations Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HAIS ![In: Hybrid Artificial Intelligence Systems, 4th International Conference, HAIS 2009, Salamanca, Spain, June 10-12, 2009. Proceedings, pp. 500-509, 2009, Springer, 978-3-642-02318-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
SocioConfiguration, Multiagent systems, Agent-based social simulation |
11 | Stephan Kreipl, Jörg Thomas Dickersbach |
Scheduling coordination problems in supply chain planning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 161(1), pp. 103-122, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Alena Sanusi, Leysia Palen |
Of Coffee Shops and Parking Lots: Considering Matters of Space and Place in the Use of Public Wi-Fi. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Support. Cooperative Work. ![In: Comput. Support. Cooperative Work. 17(2-3), pp. 257-273, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wireless, Wi-Fi, space, place, information access, internet access |
11 | David P. Luebke |
The present & future of Web3D. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web3D ![In: Proceeding of the 13th International Conference on 3D Web Technology, Web3D 2008, Los Angeles, California, USA, August 9-10, 2008, pp. 6, 2008, ACM, 978-1-60558-213-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Xuerui Wang, Andrei Z. Broder, Evgeniy Gabrilovich, Vanja Josifovski, Bo Pang 0001 |
Cross-lingual query classification: a preliminary study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM-iNEWS ![In: Proceeding of the 2nd ACM workshop on Improving Non English Web Searching, iNEWS 2008, Napa Valley, California, USA, October 30, 2008, pp. 101-104, 2008, ACM, 978-1-60558-416-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
web search, relevance feedback, machine translation, query classification, cross language |
11 | Ismael Gómez, Vuk Marojevic, José Salazar, Antoni Gelonch |
A Lightweight Operating Environment for Next Generation Cognitive Radios. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2008, Parma, Italy, September 3-5, 2008, pp. 47-52, 2008, IEEE Computer Society, 978-0-7695-3277-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Mark Woh, Yuan Lin 0002, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Richard Bruce, Danny Kershaw, Alastair Reid 0001, Mladen Wilder, Krisztián Flautner |
From SODA to scotch: The evolution of a wireless baseband processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, pp. 152-163, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Won Kim 0001 |
A Proposal for a Lifecycle Process for Hybrid Learning Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICHL ![In: Hybrid Learning and Education, First International Conference, ICHL 2008, Hong Kong, China, August 13-15, 2008, Proceedings, pp. 17-30, 2008, Springer, 978-3-540-85169-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
lifecycle process, courseware development, software development process, blended learning, hybrid learning |
11 | Zhe Wang, Mahbub Hassan |
How much of dsrc is available for non-safety use? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vehicular Ad Hoc Networks ![In: Proceedings of the Fifth International Workshop on Vehicular Ad Hoc Networks, VANET 2008, San Francisco, California, USA, September 15, 2008, pp. 23-29, 2008, ACM, 978-1-60558-191-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ieee 1609.4, multi-channel operation, non-safety, safety, vehicular communication, DSRC |
11 | Jessica Young, Srihari Makineni, Ravishankar R. Iyer 0001, Donald Newell, Adrian Moga |
To Snoop or Not to Snoop: Evaluation of Fine-Grain and Coarse-Grain Snoop Filtering Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 141-150, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cache regions, snoop filtering, coarse-grain tracking, fine-grain tracking, CMP |
11 | Emmanuel Cecchet, George Candea, Anastasia Ailamaki |
Middleware-based database replication: the gaps between theory and practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2008, Vancouver, BC, Canada, June 10-12, 2008, pp. 739-752, 2008, ACM, 978-1-60558-102-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
practice and experience, middleware, database replication |
11 | Edwin Valentin, Rienk A. Bijlsma, Vincent de Gast |
Empowering decision support with simulation technology - Scenario Navigator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 236-244, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
11 | Òscar Celma, Paul Lamere |
If you like the beatles you might like...: a tutorial on music recommendation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 16th International Conference on Multimedia 2008, Vancouver, British Columbia, Canada, October 26-31, 2008, pp. 1157-1158, 2008, ACM, 978-1-60558-303-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
complex network analysis, evaluation, social tagging, long tail, audio analysis, music recommender system |
11 | Zoran Najdovski, Saeid Nahavandi |
Extending Haptic Device Capability for 3D Virtual Grasping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroHaptics ![In: Haptics: Perception, Devices and Scenarios, 6th International Conference, EuroHaptics 2008, Madrid, Spain, June 10-13, 2008, Proceedings, pp. 494-503, 2008, Springer, 978-3-540-69056-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
haptic gripper, Haptic Interface, virtual manipulation |
11 | Min Wang, Yulian Fei |
Filter Technology of Commerce-Oriented Network Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIRS ![In: Information Retrieval Technology, 4th Asia Infomation Retrieval Symposium, AIRS 2008, Harbin, China, January 15-18, 2008, Revised Selected Papers, pp. 502-507, 2008, Springer, 978-3-540-68633-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Commerce-Oriented Spider, LSA theory, Vertical Search Engine |
11 | Elzbieta Malinowski, Esteban Zimányi |
Logical Representation of a Conceptual Model for Spatial Data Warehouses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GeoInformatica ![In: GeoInformatica 11(4), pp. 431-457, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
spatial hierarchies, spatial measures, spatial databases, logical modeling, spatial data warehouses |
11 | Jock D. Mackinlay, Pat Hanrahan, Chris Stolte |
Show Me: Automatic Presentation for Visual Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 13(6), pp. 1137-1144, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Automatic presentation, small multiples, data visualization, best practices, graphic design, visual analysis |
11 | Pranab K. Mohanty, Sudeep Sarkar, Rangachar Kasturi |
From Scores to Face Templates: A Model-Based Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 29(12), pp. 2065-2078, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Face template reconstruction, probability of break-in, security and privacy issues in biometric systems., hill climbing attack, multidimensional scaling |
11 | Alexander Egyed, Paul Grünbacher, Matthias Heindl, Stefan Biffl |
Value-Based Requirements Traceability: Lessons Learned. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RE ![In: 15th IEEE International Requirements Engineering Conference, RE 2007, October 15-19th, 2007, New Delhi, India, pp. 115-118, 2007, IEEE Computer Society, 0-7695-2935-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Alistair G. Sutcliffe |
Requirements in the Global Economy-Experience, Problems and Prospects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RE ![In: 15th IEEE International Requirements Engineering Conference, RE 2007, October 15-19th, 2007, New Delhi, India, pp. 359-360, 2007, IEEE Computer Society, 0-7695-2935-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Jaison Paul Mulerikkal, Ibrahim Khalil |
An End-Systems Supported Highly Distributed Content Delivery Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCIT ![In: Distributed Computing and Internet Technology, 4th International Conference, ICDCIT 2007, Bangalore, India, December 17-20, Proceedings, pp. 172-183, 2007, Springer, 978-3-540-77112-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | José E. Moreira, Maged M. Michael, Dilma Da Silva, Doron Shiloach, Parijat Dube, Li Zhang 0002 |
Scalability of the Nutch search engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 21th Annual International Conference on Supercomputing, ICS 2007, Seattle, Washington, USA, June 17-21, 2007, pp. 3-12, 2007, ACM, 978-1-59593-768-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Nutch, search, query, Lucene, scale-out |
11 | Minghui Jiang 0003, Xuchuan Yuan |
Construction and Application of PSO-SVM Model for Personal Credit Scoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 158-161, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
personal credit scoring, support vector machine, particle swarm optimization |
11 | Michela Becchi, Mark A. Franklin, Patrick Crowley |
Performance/area efficiency in chip multiprocessors with micro-caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 4th Conference on Computing Frontiers, 2007, Ischia, Italy, May 7-9, 2007, pp. 247-258, 2007, ACM, 978-1-59593-683-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
networking workload, chip multiprocessor, cache hierarchies |
11 | Jaison Paul Mulerikkal, Ibrahim Khalil |
An Architecture for Distributed Content Delivery Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICON ![In: Proceedings of the 15th IEEE International Conference on Networks, ICON 2007, 19-21 November 2007, Adelaide, Australia, pp. 359-364, 2007, IEEE, 1-4244-1230-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | M. Jose A. Zarate, Rodolfo A. Pazos Rangel, Alexander F. Gelbukh, Joaquín Pérez Ortega |
Improving the Customization of Natural Language Interface to Databases Using an Ontology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part I, pp. 424-435, 2007, Springer, 978-3-540-74468-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Jangwoo Kim, Jared C. Smolens, Babak Falsafi, James C. Hoe |
PAI: A Lightweight Mechanism for Single-Node Memory Recovery in DSM Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia, pp. 298-305, 2007, IEEE Computer Society, 0-7695-3054-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Bryan Veal, Annie P. Foong |
Performance scalability of a multi-core web server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2007 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2007, Orlando, Florida, USA, December 3-4, 2007, pp. 57-66, 2007, ACM, 978-1-59593-945-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
network protocol stacks, scalability, load balancing, networks, parallelism, web servers, cache hierarchies |
11 | Dave Tahmoush |
Image Differencing Approaches to Medical Image Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIPR ![In: 36th Applied Imagery Pattern Recognition Workshop, AIPR 2007, Washington, DC, USA, October 10-12, 2007, Proceedings, pp. 22-27, 2007, IEEE Computer Society, 978-0-7695-3066-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
11 | Shane Greenstein |
Room for a Thousand Flowers to Bloom. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 26(3), pp. 6, 93, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
dispersed leadership, concentrated leadership |
11 | Pieter Spronck, Marc J. V. Ponsen, Ida G. Sprinkhuizen-Kuyper, Eric O. Postma |
Adaptive game AI with dynamic scripting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Learn. ![In: Mach. Learn. 63(3), pp. 217-248, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Dynamic scripting, Reinforcement learning, Computer game |
11 | Eric Filiol |
Malware Pattern Scanning Schemes Secure Against Black-box Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Virol. ![In: J. Comput. Virol. 2(1), pp. 35-50, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Jonathan Schaeffer |
Learning to Have Fun. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PKDD ![In: Knowledge Discovery in Databases: PKDD 2006, 10th European Conference on Principles and Practice of Knowledge Discovery in Databases, Berlin, Germany, September 18-22, 2006, Proceedings, pp. 3, 2006, Springer, 3-540-45374-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Ted Huffmire, Timothy Sherwood |
Wavelet-based phase classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 95-104, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
phase analysis, phase classification, optimization, wavelets, program behavior, phases |
11 | Michael Cowell, Adam Postula |
Rachael SPARC: An Open Source 32-bit Microprocessor Core for SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August - 1 September 2006, Dubrovnik, Croatia, pp. 415-422, 2006, IEEE Computer Society, 0-7695-2609-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Vincenzo Auletta, Carlo Blundo, Stelvio Cimato, Guerriero Raimato |
A Web Service Based Micro-payment System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 26-29 June 2006, Cagliari, Sardinia, Italy, pp. 328-333, 2006, IEEE Computer Society, 0-7695-2588-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Ronald Glasberg, Cengiz Tas, Thomas Sikora |
Recognizing Commercials in Real-Time using Three Visual Descriptors and a Decision-Tree. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, ICME 2006, July 9-12 2006, Toronto, Ontario, Canada, pp. 1481-1484, 2006, IEEE Computer Society, 1-4244-0367-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Sung Hwan Lee, Won-Young Yoo, Young-Suk Yoon |
Real-Time Monitoring System for TV Commercials Using Video Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEC ![In: Entertainment Computing - ICEC 2006, 5th International Conference, Cambridge, UK, September 20-22, 2006, Proceedings, pp. 81-89, 2006, Springer, 3-540-45259-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Francis Hsu, Hao Chen 0003, Thomas Ristenpart, Jason Li 0003, Zhendong Su 0001 |
Back to the Future: A Framework for Automatic Malware Removal and System Repair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 22nd Annual Computer Security Applications Conference (ACSAC 2006), 11-15 December 2006, Miami Beach, Florida, USA, pp. 257-268, 2006, IEEE Computer Society, 0-7695-2716-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Peep Küngas, Mihhail Matskin |
Web Services Roadmap: The Semantic Web Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICT/ICIW ![In: Advanced International Conference on Telecommunications and International Conference on Internet and Web Applications and Services (AICT/ICIW 2006), 19-25 February 2006, Guadeloupe, French Caribbean, pp. 130, 2006, IEEE Computer Society, 0-7695-2522-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | James Laudon |
Performance/Watt: the new server focus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 33(4), pp. 5-13, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Moira Burke, Anthony J. Hornof, Erik Nilsen, Nicholas Gorman |
High-cost banner blindness: Ads increase perceived workload, hinder visual search, and are forgotten. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Hum. Interact. ![In: ACM Trans. Comput. Hum. Interact. 12(4), pp. 423-445, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
banner ads, World Wide Web, Animation, eye tracking, visual search |
11 | Phillip A. Laplante, Colin J. Neill |
Modeling uncertainty in software engineering using rough sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Innov. Syst. Softw. Eng. ![In: Innov. Syst. Softw. Eng. 1(1), pp. 71-78, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Software engineering, Rough sets, Uncertainty, Real-time operating systems |
11 | Roberto Bruno, Lorenza Cuoghi, Pascal Laurenge |
Quantitative Identification of Marbles Aesthetical Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IbPRIA (2) ![In: Pattern Recognition and Image Analysis, Second Iberian Conference, IbPRIA 2005, Estoril, Portugal, June 7-9, 2005, Proceedings, Part II, pp. 674-681, 2005, Springer, 3-540-26154-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Michael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M. K. Martin, David A. Wood 0001 |
Improving Multiple-CMP Systems Using Token Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 328-339, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Luis E. Cuéllar |
Open source license alternatives for software applications: is it a solution to stop software piracy? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference (2) ![In: Proceedings of the 43nd Annual Southeast Regional Conference, 2005, Kennesaw, Georgia, Alabama, USA, March 18-20, 2005, Volume 2, pp. 269-274, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
copyleft, open source, intellectual property, copyright, license, free software, software piracy |
11 | Peter Lendermann, Malcolm Y. H. Low, Boon-Ping Gan, Nirupam Julka, Lai Peng Chan, Loo Hay Lee, Simon J. E. Taylor, Stephen John Turner, Wentong Cai 0001, Xiaoguang Wang 0002, Terence Hung, Leon F. McGinnis, Stephen J. Buckley |
An integrated and adaptive decision-support framework for high-tech manufacturing and service networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 37th Winter Simulation Conference, Orlando, FL, USA, December 4-7, 2005, pp. 2052-2062, 2005, IEEE Computer Society, 0-7803-9519-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Onn Shehory |
Online Auctions: Notes on Theory, Practice, and the Role of Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2005, 20th International Symposium, Istanbul, Turkey, October 26-28, 2005, Proceedings, pp. 2, 2005, Springer, 3-540-29414-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar |
SECA: security-enhanced communication architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2005, San Francisco, California, USA, September 24-27, 2005, pp. 78-89, 2005, ACM, 1-59593-149-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
AMBA Bus, security-aware design, small embedded systems, security, communication, access control, architecture, intrusion detection, system-on-chip (SoC), attacks, bus, digital rights management (DRM) |
11 | Miguel Rios, Vladimir Marianov, Cristian Abaroa |
Design of Heterogeneous Traffic Networks Using Simulated Annealing Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: Information Networking, Convergence in Broadband and Mobile Networking, International Conference, ICOIN 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings, pp. 520-530, 2005, Springer, 3-540-24467-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Munjo Kim, Sanggil Kang, Munchurl Kim, Jae-Gon Kim |
Target Advertisement Service Using TV Viewers' Profile Inference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCM (1) ![In: Advances in Multimedia Information Processing - PCM 2005, 6th Pacific-Rim Conference on Multimedia, Jeju Island, Korea, November 13-16, 2005, Proceedings, Part I, pp. 202-211, 2005, Springer, 3-540-30027-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
11 | Lisa Brownsword, Minton Brooks |
Managing the COTS Chaos: Experiences from the Trenches Using the Evolutionary Process for Integrating COTS-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCBSS ![In: COTS-Based Software Systems, Third International Conference, ICCBSS 2004, Redondo Beach, CA, USA, February 1-4, 2004, Proceedings, pp. 217, 2004, Springer, 3-540-21903-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Roman L. Lysecky, Frank Vahid |
A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 480-485, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
FPGA fabric, self-improving chips, synthesis, reconfigurable computing, dynamic optimization, system-on-a-chip, platforms, codesign, Hardware/software partitioning, just-in-time compilation, configurable logic, place and route, warp processors |
11 | Harish Patil, Robert S. Cohn, Mark Charney, Rajiv Kapoor, Andrew Sun, Anand Karunanidhi |
Pinpointing Representative Portions of Large Intel® Itanium® Programs with Dynamic Instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 81-92, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Donald F. Ferguson |
Convergence of Web Services, Grid Services and Business Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: Proceedings of the IEEE International Conference on Web Services (ICWS'04), June 6-9, 2004, San Diego, California, USA, 2004, IEEE Computer Society, 0-7695-2167-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Francesco Scarcello, Gianluigi Greco, Nicola Leone |
Weighted Hypertree Decompositions and Optimal Query Plans. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-third ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems, June 14-16, 2004, Paris, France, pp. 210-221, 2004, ACM, 1-58113-858-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Pascal van Eck, Jaap Gordijn, Roel J. Wieringa |
Value-Based Design of Collaboration Processes for e-Commerce. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EEE ![In: 2004 IEEE International Conference on e-Technology, e-Commerce, and e-Services (EEE 04), 29-31 March 2004, Taipei, Taiwan, pp. 349-358, 2004, IEEE Computer Society, 0-7695-2073-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Ramaswamy Chandramouli, Mark R. Blackburn |
Automated Testing of Security Functions Using a Combined Model and Interface-Driven Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 37th Hawaii International Conference on System Sciences (HICSS-37 2004), CD-ROM / Abstracts Proceedings, 5-8 January 2004, Big Island, HI, USA, 2004, IEEE Computer Society, 0-7695-2056-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Johan Pas, Bruno de Vuyst |
The Use and Re-Use of Government Information from an EU Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 37th Hawaii International Conference on System Sciences (HICSS-37 2004), CD-ROM / Abstracts Proceedings, 5-8 January 2004, Big Island, HI, USA, 2004, IEEE Computer Society, 0-7695-2056-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Gian Carlo Cardarilli, A. Leandri, P. Marinucci, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano |
Design of a fault tolerant solid state mass memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 52(4), pp. 476-491, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Christof Krick, Harald Räcke, Matthias Westermann |
Approximation Algorithms for Data Management in Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 36(5), pp. 497-519, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Ahmed Abdelkhalek 0002, Angelos Bilas, Andreas Moshovos |
Behavior and Performance of Interactive Multi-Player Game Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 6(4), pp. 355-366, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
CPU event counters, scalability, benchmark, multi-player game, Quake |
11 | Nicholas J. Adams, Simon Haston, Nat Gillespie, Ann Macintosh |
Conventional and Electronic Service Delivery within Public Authorities: The Issues and Lessons from the Private Sector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EGOV ![In: Electronic Government, Second International Conference, EGOV 2003, Prague, Czech Republic, September 1-5, 2003, Proceedings, pp. 129-134, 2003, Springer, 3-540-40845-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Rei-Fu Huang, Li-Ming Denq, Cheng-Wen Wu, Jin-Fu Li 0001 |
A Testability-Driven Optimizer and Wrapper Generator for Embedded Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 11th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2003), 28-29 July 2003, San Jose, CA, USA, pp. 53-, 2003, IEEE Computer Society, 0-7695-2004-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Chris Kenyon, Giorgos Cheliotis |
Creating Services with Hard Guarantees from Cycle-Harvesting Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 3rd IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2003), 12-15 May 2003, Tokyo, Japan, pp. 224-231, 2003, IEEE Computer Society, 0-7695-1919-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
11 | Zièd Choukair, Safouane Sfar |
Run Time Adaptation of UMTS Services to Available Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 17th International Conference on Advanced Information Networking and Applications (AINA'03), March 27-29, 2003, Xi'an, China, pp. 33-38, 2003, IEEE Computer Society, 0-7695-1906-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
UMTS, DiffServ, Run-time Adaptation, ABS |
11 | Audris Mockus, Roy T. Fielding, James D. Herbsleb |
Two case studies of open source software development: Apache and Mozilla. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Softw. Eng. Methodol. ![In: ACM Trans. Softw. Eng. Methodol. 11(3), pp. 309-346, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
repair interval, Open source software, Apache, defect density, Mozilla, code ownership |
11 | Danai Patiyoot |
Migration / Evolution of security towards wireless ATM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 36(1), pp. 23-30, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Brian A. LaMacchia |
Key Challenges in DRM: An Industry Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Digital Rights Management Workshop ![In: Security and Privacy in Digital Rights Management, ACM CCS-9 Workshop, DRM 2002, Washington, DC, USA, November 18, 2002, Revised Papers, pp. 51-60, 2002, Springer, 3-540-40410-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
11 | Neil C. Rowe, Jim Coffman, Yilmaz Degirmenci, Scott Hall, Shong Lee, Clifton Williams |
Automatic removal of advertising from web-page display. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: ACM/IEEE Joint Conference on Digital Libraries, JCDL 2002, Portland, Oregon, USA, June 14-18, 2002, Proceedings, pp. 406, 2002, ACM, 1-58113-513-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
information retrieval, World Wide Web, images, information filtering, advertising |
11 | James G. Maloney, Brian E. Brewington, Curtis R. Menyuk |
Computer aided design of long-haul optical transmission systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 235, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Long-Haul (LH) transmission, Ultra-Long Haul (ULH) transmission, optical modeling, optical communication |
11 | M. D. Bennett, Neil C. Audsley |
Predictable and Efficient Virtual Addressing for Safety-Critical Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 13th Euromicro Conference on Real-Time Systems (ECRTS 2001), 13-15 June 2001, Delft, The Netherlands, Proceedings, pp. 183-190, 2001, IEEE Computer Society, 0-7695-1221-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Timothy G. Mattson |
High Performance Computing at Intel: The OSCAR Software Solution Stack for Cluster Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: First IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2001), May 15-18, 2001, Brisbane, Australia, pp. 22-25, 2001, IEEE Computer Society, 0-7695-1010-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Hugh Outhred |
The Design of Efficient Market Structures for Ancillary Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 34th Annual Hawaii International Conference on System Sciences (HICSS-34), January 3-6, 2001, Maui, Hawaii, USA, 2001, IEEE Computer Society, 0-7695-0981-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
electricity restructuring, electricity competition, ancillary services |
11 | Christof Krick, Harald Räcke, Matthias Westermann |
Approximation algorithms for data management in networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the Thirteenth Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA 2001, Heraklion, Crete Island, Greece, July 4-6, 2001, pp. 237-246, 2001, ACM, 1-58113-409-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Rebecca Grant |
E-commerce organizational structure (extended abstract): an integration of four cases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCPR ![In: Proceedings of the ACM SIGCPR Conference on Computer Personnel Research, Chicago, Illinois, USA, 2000, pp. 151, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
e-commerce implementation, organization design, electronic commerce, IS personnel |
11 | Li Gong |
Developing Security Systems in the Real World. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2000 - Object-Oriented Programming, 14th European Conference, Sophia Antipolis and Cannes, France, June 12-16, 2000, Proceedings, pp. 251, 2000, Springer, 3-540-67660-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
11 | Craig Slorach, Ken Sharman |
The Design and Implementation of Custom Architectures for Evolvable Hardware Using Off-the-Shelf Programmable Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICES ![In: Evolvable Systems: From Biology to Hardware, Third International Conference, ICES 2000, Edinburgh, Scotland, UK, April 17-19, 2000, Proceedings, pp. 197-207, 2000, Springer, 3-540-67338-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 11924 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|