The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Nan Liu 0002, Song Chen 0001, Takeshi Yoshimura Resource-Aware Multi-Layer Floorplanning for Partially Reconfigurable FPGAs. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Katherine Shu-Min Li, Yingchieh Ho, Liang-Bi Chen Interconnect-Driven Floorplanning with Noise-Aware Buffer Planning. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ruining He, Guoqiang Liang, Yuchun Ma, Yu Wang 0002, Jinian Bian Unification of PR Region floorplanning and Fine-Grained Placement for Dynamic Partially Reconfigurable FPGAs. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jackey Z. Yan, Chris Chu SDS: An Optimal Slack-Driven Block Shaping Algorithm for Fixed-Outline Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17S. Anand, S. Saravanasankar, P. Subbaraj A multiobjective optimization tool for Very Large Scale Integrated nonslicing floorplanning. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Chyi-Shiang Hoo, Kanesan Jeevan, Velappa Ganapathy, Harikrishnan Ramiah Variable-Order Ant System for VLSI multiobjective floorplanning. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17D. Gracia Nirmala Rani, S. Rajaram 0001 A survey on B*-Tree-based evolutionary algorithms for VLSI floorplanning optimisation. Search on Bibsonomy Int. J. Comput. Appl. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Zhufei Chu, Yinshui Xia, Lun-Yao Wang, Jian Wang Voltage Drop Aware Power Pad Assignment and Floorplanning for Multi-voltage SoC Designs. Search on Bibsonomy CAD/Graphics The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Mohammad A. Ahmed, Malgorzata Chrzanowska-Jeske TSV capacitance aware 3-D floorplanning. Search on Bibsonomy 3DIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Artur Quiring, Markus Olbrich, Erich Barke Improving 3D-Floorplanning using smart selection operations in meta-heuristic optimization. Search on Bibsonomy 3DIC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Christian Beckhoff, Dirk Koch, Jim Tørresen Automatic Floorplanning and Interface Synthesis of Island Style Reconfigurable Systems with GoAhead. Search on Bibsonomy ARCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kai-Chung Chan, Chao-Jam Hsu, Jia-Ming Lin A flexible fixed-outline floorplanning methodology for mixed-size modules. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Shantesh Pinge, Rajeev K. Nain, Malgorzata Chrzanowska-Jeske Fast floorplanning with placement constraints. Search on Bibsonomy LASCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Puskar Budhathoki, Johann Knechtel, Andreas Henschel, Ibrahim Abe M. Elfadel Integration of thermal management and floorplanning based on three-dimensional layout representations. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Shyam Ramji Challenges in managing timing and wiring contracts during hierarchical floorplanning and design closure. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Robert Fischbach, Johann Knechtel, Jens Lienig Utilizing 2D and 3D rectilinear blocks for efficient IP reuse and floorplanning of 3D-integrated systems. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Sheng-Jhih Jiang, Tsung-Yi Ho A rapid analog amendment framework using the incremental floorplanning technique. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Bo Huang, Song Chen 0001, Wei Zhong, Takeshi Yoshimura Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Shuang Yu, Fen Ge, Gui Feng, Ning Wu A two-phase floorplanning approach for Application-specific Network-on-Chip. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jieliang Lu, Qin Wang 0009, Jing Xie 0010, Zhigang Mao TSVs-aware floorplanning for 3D integrated circuit. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Chih-han Hsu, Shanq-Jang Ruan, Ying-Jung Chen, Tsang-Chi Kan Reliability consideration with rectangle- and double-signal through silicon vias insertion in 3D thermal-aware floorplanning. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17S. Anand, S. Saravanasankar, P. Subbaraj Customized simulated annealing based decision algorithms for combinatorial optimization in VLSI floorplanning problem. Search on Bibsonomy Comput. Optim. Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Po-Hsun Wu, Tsung-Yi Ho Bus-driven floorplanning with bus pin assignment and deviation minimization. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Zhi-Xiong Hung SKB-Tree: A Fixed-Outline Driven Representation for Modern Floorplanning Problems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Naohiro Hamada, Hiroshi Saito Integration of Behavioral Synthesis and Floorplanning for Asynchronous Circuits with Bundled-Data Implementation. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Nan Liu 0002, Song Chen 0001, Takeshi Yoshimura Floorplanning for High Utilization of Heterogeneous FPGAs. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Dipanjan Sengupta, Andreas G. Veneris, Steven J. E. Wilton, André Ivanov Multi-objective voltage island floorplanning using sequence pair representation. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Felipe Frantz, Lioua Labrak, Ian O'Connor 3D IC floorplanning: Automating optimization settings and exploring new thermal-aware management techniques. Search on Bibsonomy Microelectron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Iksoon Lim, Hyounseok Song, Hyunchul Shin Integrated Circuit Floorplanning by Using an Analytical Algorithm. Search on Bibsonomy ICHIT (1) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17David W. Browning, Ayman M. El Ansary, Mohamed Shalaby System floorplanning optimization. Search on Bibsonomy ICEAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Wei-Yi Cheng, Chung-Lin Lee, Richard C. Hsu Voltage island-driven floorplanning considering level shifter placement. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Aaron Wood, Adam Knight, Benjamin Ylvisaker, Scott Hauck Multi-kernel floorplanning for enhanced CGRAS. Search on Bibsonomy FPL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Bo Huang, Song Chen 0001, Wei Zhong, Takeshi Yoshimura Application-Specific Network-on-Chip synthesis with topology-aware floorplanning. Search on Bibsonomy SBCCI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mohammad A. Ahmed, Shantesh Pinge, Malgorzata Chrzanowska-Jeske Fast floorplanning for fixed-outline and nonrectangular regions. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jackey Z. Yan, Chris Chu Optimal slack-driven block shaping algorithm in fixed-outline floorplanning. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Renshen Wang, Nimish Shah Scalable hierarchical floorplanning for fast physical prototyping of systems-on-chip. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Zhen Chen, Jinzhu Chen, Wenzhong Guo, Guolong Chen A coevolutionary multi-objective PSO algorithm for VLSI floorplanning. Search on Bibsonomy ICNC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Kizheppatt Vipin, Suhaib A. Fahmy Architecture-Aware Reconfiguration-Centric Floorplanning for Partial Reconfiguration. Search on Bibsonomy ARC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Song Chen 0001, Xiaolin Zhang, Takeshi Yoshimura Practically scalable floorplanning with voltage island generation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17I. Hameem Shanavas, Ramaswamy Kannan Gnanamurthy Wirelength Minimization in Partitioning and Floorplanning Using Evolutionary Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Qiang Zhou 0001, Jin Shi, Bin Liu 0007, Yici Cai Floorplanning Considering IR Drop in Multiple Supply Voltages Island Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Rajeev K. Nain, Malgorzata Chrzanowska-Jeske Fast Placement-Aware 3-D Floorplanning Using Vertical Constraints on Sequence Pairs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ming-Chao Tsai, Ting-Chi Wang, Ting Ting Hwang Through-Silicon Via Planning in 3-D Floorplanning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ankur Jain, Syed M. Alam, Scott Pozder, Robert E. Jones Thermal-electrical co-optimisation of floorplanning of three-dimensional integrated circuits under manufacturing and physical design constraints. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Licheng Xue, Feng Shi 0009, Weixing Ji, Haroon-Ur-Rashid Khan 3D floorplanning of low-power and area-efficient Network-on-Chip architecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jianli Chen, Wenxing Zhu, M. Montaz Ali A Hybrid Simulated Annealing Algorithm for Nonslicing VLSI Floorplanning. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Pritha Banerjee 0001, Megha Sangtani, Susmita Sur-Kolay Floorplanning for Partially Reconfigurable FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Zhi-Xiong Hung UFO: Unified Convex Optimization Algorithms for Fixed-Outline Floorplanning Considering Pre-Placed Modules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Qiang Ma 0002, Zaichen Qian, Evangeline F. Y. Young, Hai Zhou 0001 MSV-Driven Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Karthik Sankaranarayanan, Brett H. Meyer, Mircea R. Stan, Kevin Skadron Thermal benefit of multi-core floorplanning: A limits study. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Kian Haghdad, Mohab Anis, Yehea I. Ismail Floorplanning for low power IC design considering temperature variations. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yiding Han, Koushik Chakraborty, Sanghamitra Roy, Vilasita Kuntamukkala Design and Implementation of a Throughput-Optimized GPU Floorplanning Algorithm. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Michael B. Healy, Fayez Mohamood, Hsien-Hsin S. Lee, Sung Kyu Lim Integrated microarchitectural floorplanning and run-time controller for inductive noise mitigation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yih-Lang Li, Yu-Ning Chang, Wen-Nai Cheng A gridless routing system with nonslicing floorplanning-based crosstalk reduction on gridless track assignment. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mandar Padmawar, Sanghamitra Roy, Koushik Chakraborty Microprocessor Power Supply Noise Aware Floorplanning Using a Circuit-Architectural Framework. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Felipe Frantz, Lioua Labrak, Ian O'Connor 3D-IC floorplanning: Applying meta-optimization to improve performance. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Licheng Xue, Weixing Ji, Qi Zuo, Yang Zhang Floorplanning exploration and performance evaluation of a new Network-on-Chip. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Debora Matos, Gianluca Palermo, Vittorio Zaccaria, Cezar Reinbrecht, Altamiro Amadeu Susin, Cristina Silvano, Luigi Carro Floorplanning-aware design space exploration for application-specific hierarchical networks on-chip. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Artur Quiring, Marc Lindenberg, Markus Olbrich, Erich Barke 3D floorplanning considering vertically aligned rectilinear modules using T∗-tree. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Andreas Thor Winther, Wei Liu 0016, Alberto Nannarelli, Sarma B. K. Vrudhula Temperature dependent wire delay estimation in floorplanning. Search on Bibsonomy NORCHIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Xi Chen, Jiang Hu, Ning Xu 0006 Regularity-constrained floorplanning for multi-core processors. Search on Bibsonomy ISPD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Dipanjan Sengupta, Andreas G. Veneris, Steven J. E. Wilton, André Ivanov, Res Saleh Sequence pair based voltage island floorplanning. Search on Bibsonomy IGCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17David Cuesta Gómez, José Luis Risco-Martín, José Luis Ayala, José Ignacio Hidalgo A combination of evolutionary algorithm and mathematical programming for the 3d thermal-aware floorplanning problem. Search on Bibsonomy GECCO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Po-Hsun Wu, Tsung-Yi Ho Thermal-aware bus-driven floorplanning. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
17Sachhidh Kannan, Garrett S. Rose A hierarchical 3-D floorplanning algorithm for many-core CMP networks. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Wei Zhong, Song Chen 0001, Fei Ma, Takeshi Yoshimura, Satoshi Goto Floorplanning driven Network-on-Chip synthesis for 3-D SoCs. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jeonghee Shin, John A. Darringer, Guojie Luo, Merav Aharoni, Alexey Lvov, Gi-Joon Nam, Michael B. Healy Floorplanning challenges in early chip planning. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Naohiro Hamada, Hiroshi Saito Integration of behavioral synthesis and floorplanning for asynchronous circuits with bundled-data implementation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Xiaolin Zhang, Zhi Lin, Song Chen 0001, Takeshi Yoshimura An effecient level-shifter floorplanning method for Multi-voltage design. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yiding Han, Sanghamitra Roy, Koushik Chakraborty Optimizing simulated annealing on GPU: A case study with IC floorplanning. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mandar Padmawar, Sanghamitra Roy, Koushik Chakraborty Integrated circuit-architectural framework for PSN aware floorplanning in microprocessors. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Nan Liu 0002, Song Chen 0001, Takeshi Yoshimura Floorplanning for high utilization of heterogeneous FPGAs. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yiding Han, Koushik Chakraborty, Sanghamitra Roy, Vilasita Kuntamukkala A GPU Algorithm for IC Floorplanning: Specification, Analysis and Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yiming Li, Yi Li, Mingtian Zhou Area Optimization in Floorplanning Using AP-TCG. Search on Bibsonomy J. Convergence Inf. Technol. The full citation details ... 2010 DBLP  BibTeX  RDF
17Alessio Montone, Marco D. Santambrogio, Donatella Sciuto, Seda Ogrenci Memik Placement and Floorplanning in Dynamically Reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Song Chen 0001, Takeshi Yoshimura Multi-layer floorplanning for stacked ICs: Configuration number and fixed-outline constraints. Search on Bibsonomy Integr. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Yuchun Ma, Qiang Zhou 0001, Pingqiang Zhou, Xianlong Hong Thermal Impacts of Leakage Power in 2D/3D floorplanning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jackey Z. Yan, Chris Chu DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Guolong Chen, Wenzhong Guo, Yuzhong Chen A PSO-based intelligent decision algorithm for VLSI floorplanning. Search on Bibsonomy Soft Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Ying-Chieh Chen, Yiming Li 0005 Temperature-aware floorplanning via geometric programming. Search on Bibsonomy Math. Comput. Model. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Cheng-Hong Li, Sampada Sonalkar, Luca P. Carloni Exploiting local logic structures to optimize multi-core SoC floorplanning. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Pavel Ghosh, Arunabha Sen Power efficient voltage islanding for Systems-on-chip from a floorplanning perspective. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Bei Yu 0001, Sheqin Dong, Song Chen 0001, Satoshi Goto Floorplanning and topology generation for application-specific network-on-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Qiang Ma 0002, Martin D. F. Wong, Kai-Yuan Chao Configurable multi-product floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Hsi Hung UFO: unified convex optimization algorithms for fixed-outline floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Linfu Xiao, Subarna Sinha, Jingyu Xu, Evangeline F. Y. Young Fixed-outline thermal-aware 3D floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Won Ha Choi, Xun Liu Case Study: GPU-based implementation of sequence pair based floorplanning using CUDA. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Houng-Yi Li, Iris Hui-Ru Jiang, Hung-Ming Chen Simultaneous voltage island generation and floorplanning. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Wenxu Sheng, Sheqin Dong, Yuliang Wu, Satoshi Goto Fixed outline multi-bend bus driven floorplanning. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Shengqing Shi, Xi Zhang, Rong Luo The thermal-aware floorplanning for 3D ICs using Carbon Nanotube. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Wenjuan Zhang, Shefali Srivastava, Yajun Ha B*-tree based variability-aware floorplanning. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Baofang Chang, Wu Jigang, Thambipillai Srikanthan, Lian Li A Novel Approach for Multilevel Fixed Outline Floorplanning. Search on Bibsonomy PAAP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Bei Yu 0001, Sheqin Dong, Song Chen 0001, Satoshi Goto Voltage and Level-Shifter Assignment Driven Floorplanning. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yuchun Ma, Xin Li, Yu Wang 0002, Xianlong Hong Thermal-Aware Incremental Floorplanning for 3D ICs Based on MILP Formulation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Kang Li, Juebang Yu, Jian Li VLSI Floorplanning with Boundary Constraints Based on Single-Sequence Representation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang Voltage-Island Partitioning and Floorplanning Under Timing Constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Meng-Chen Wu, Ming-Ching Lu, Hung-Ming Chen, Jing-Yang Jou Performance-constrained voltage assignment in multiple supply voltage SoC floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yun Huang, Qiang Zhou 0001, Yici Cai, Haixia Yan A thermal-driven force-directed floorplanning algorithm for 3D ICs. Search on Bibsonomy CAD/Graphics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Li Li, Yuchun Ma, Ning Xu 0006, Yu Wang 0002, Xianlong Hong Modern Floorplanning with Boundary Clustering Constraint. Search on Bibsonomy ISVLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Xin Li, Yuchun Ma, Xianlong Hong A novel thermal optimization flow using incremental floorplanning for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license