The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for macros with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1976 (16) 1978-1985 (15) 1986-1989 (18) 1990-1992 (15) 1993-1997 (23) 1998 (15) 1999 (17) 2000 (16) 2001 (15) 2002 (27) 2003 (20) 2004 (32) 2005 (22) 2006 (34) 2007 (24) 2008 (27) 2009 (23) 2010-2011 (19) 2012-2013 (16) 2014-2015 (19) 2016-2018 (22) 2019-2021 (18) 2022-2023 (24) 2024 (2)
Publication types (Num. hits)
article(131) book(3) incollection(2) inproceedings(337) phdthesis(6)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 380 occurrences of 289 keywords

Results
Found 480 publication records. Showing 479 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Gergely Kovásznai, Constantine Kotropoulos, Ioannis Pitas CAML - A Universal Configuration Language for Dialogue Systems. Search on Bibsonomy DEXA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Petr Sojka Rapid evaluation using multiple choice tests and TeX. Search on Bibsonomy ITiCSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiple-choice tests, evaluation, information system, TeX
11Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Silvanus T. Enns, Pattita Suwanruji Simulation test bed for manufacturing analysis: a simulation test bed for producton and supply chain modeling. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Juan Carlos Rojas, Miriam Leeser Programming portable optimized multimedia applications. Search on Bibsonomy ACM Multimedia The full citation details ... 2003 DBLP  DOI  BibTeX  RDF TriMedia, optimization, multimedia, SIMD, portability, MMX, SSE, AltiVec, SSE2
11Markus Hütter, Holger Bock, Michael Scheppler A New Reconfigurable Architecture for Single Cycle Context Switching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jason Sungtae Kim, Michael Bedford Taylor, Jason E. Miller, David Wentzlaff Energy characterization of a tiled architecture processor with on-chip networks. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF raw microprocessor, scalar operand network, power, tile
11Matteo Dall'Osso, Gianluca Biccari, Luca Giovannini, Davide Bertozzi, Luca Benini xpipes: a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11David B. Tucker, Shriram Krishnamurthi Pointcuts and advice in higher-order languages. Search on Bibsonomy AOSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Michael D. Ernst, Greg J. Badros, David Notkin An Empirical Analysis of C Preprocessor Use. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF C preprocessor, macro substitution, file inclusion, C++, empirical study, C, program understanding, macro, conditional compilation, Cpp
11Ryan Kastner, Adam Kaplan, Seda Ogrenci Memik, Elaheh Bozorgzadeh Instruction generation for hybrid reconfigurable systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, high-level synthesis, reconfigurable computing
11Claus Brabrand, Anders Møller, Michael I. Schwartzbach The project. Search on Bibsonomy ACM Trans. Internet Techn. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interactive Web services, World Wide Web (WWW), program analysis, HTML
11Pedro C. Diniz, Joonseok Park Data reorganization engines for the next generation of system-on-a-chip FPGAs. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable-gate-arrays (FPGAs), high-level synthesis, data reorganization
11Sriram Swaminathan, Russell Tessier, Dennis Goeckel, Wayne P. Burleson A dynamically reconfigurable adaptive viterbi decoder. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable-gate-arrays (FPGAs), high-level synthesis, data reorganization
11Peter Jamieson, Angelos Bilas CableS: Thread Control and Memory Management Extensions for Shared Virtual Memory Clusters. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cluster, SVM, pthread, single system image
11Francisco Cardells-Tormo, Javier Valls-Coquillat High Performance Quadrature Digital Mixers for FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Torsten Wilde, James Arthur Kohl, Ray E. Flanery Jr. Integrating CUMULVS into AVS/Express. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CUMULVS, AVS/Express, Scientific Visualization, Component-Based Design
11Timothy N. Wright, Andy Cockburn Mulspren: a MUltiple Language Simulation PRogramming ENvironment. Search on Bibsonomy HCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Mats Blomquist Braille Contractions in WinBraille. Search on Bibsonomy ICCHP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Marc K. Zimmerman, Kristina Lundqvist, Nancy G. Leveson Investigating the readability of state-based formal requirements specification languages. Search on Bibsonomy ICSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Syed Mahfuzul Aziz, C. N. Basheer, Joarder Kamruzzaman A Synthesisable VHDL Model for an Easily Testable Generalised Multiplier. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modified Booth, Generic, Synthesis, VHDL, Multiplier, C-Testable
11Blaise Genest, Anca Muscholl Pattern Matching and Membership for Hierarchical Message Sequence Charts. Search on Bibsonomy LATIN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Susanna Donatelli, Liliana Ferro Validation of GSPN and SWN Models through the PROD Tool. Search on Bibsonomy Computer Performance Evaluation / TOOLS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Xiaoliang Bai, Chandramouli Visweswariah, Philip N. Strenski Uncertainty-aware circuit optimization. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF small uncertainty, optimization, process variation, nonlinear, performance optimization, transistor sizing, circuit tuning
11L. Peter Deutsch Engineering broad-spectrum document software: lessons from ghostscript. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Nico Jacobs, Hendrik Blockeel The Learning Shell: Automated Macro Construction. Search on Bibsonomy User Modeling The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interface adaptation, machine learning, inductive logic programming
11J Strother Moore Finite Set Theory in ACL2. Search on Bibsonomy TPHOLs The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11István T. Hernádvölgyi Searching for Macro Operators with Automatically Generated Heuristics. Search on Bibsonomy AI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Yasunori Harada, Kenichi Yamazaki, Richard Potter CCC: User-Defined Object Structure in C. Search on Bibsonomy ECOOP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Veselko Gustin, Patricio Bulic Extracting SIMD Parallelism from 'for' Loops. Search on Bibsonomy ICPP Workshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Toshiyuki Masui HyperSnapping. Search on Bibsonomy HCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Pedro Guerreiro Simple Support for Design by Contract in C++. Search on Bibsonomy TOOLS (39) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Sujatha Sundararaman, Sriram Govindarajan, Ranga Vemuri Application Specific Macro Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Ben L. Di Vito High-automation proofs for properties of requirements models. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Avionics software, Proof strategies, Formal methods, Theorem proving, Requirements analysis
11Gerd Jochens, Lars Kruse, Eike Schmidt, Ansgar Stammermann, Wolfgang Nebel Power Macro-Modelling for Firm-Macro. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Junji Ogawa, Mark Horowitz A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank DRAM Macro. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Radhika S. Grover, Weijia Shang, Qiang Li A Comparison of FPGA Implementations of Bit-Level and Word-Level Matrix Multipliers. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Aaron Schneider, Robert McIlhenny, Milos D. Ercegovac BigSky-An On-Line Arithmetic Design Tool for FPGAs. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Sukalyan Mukherjee Design for Testability to Achieve High Test Coverage - A Case Study. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11B. Suresh, Biswadeep Chaterjee, R. Harinath Synthesizable RAM-Alternative to Low Configuration Compiler Memory for Die Area Reduction. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Synthesizable RAM, Compiler Memory, ASIC library, Die Area Reduction, Testability
11Mahadevamurty Nemani, Vivek Tiwari Macro-driven circuit design methodology for high-performance datapaths. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Can recursive bisection alone produce routable placements? Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Fulvio Corno, Uwe Gläser, Paolo Prinetto, Matteo Sonza Reorda, Heinrich Theodor Vierhaus, Massimo Violante SymFony: a hybrid topological-symbolic ATPG exploiting RT-level information. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Daisuke Ikeda Characteristic Sets of Strings Common to Semi-structured Documents. Search on Bibsonomy Discovery Science The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Shriram Krishnamurthi, Yan-David Erlich, Matthias Felleisen Expressing Structural Properties as Language Constructs. Search on Bibsonomy ESOP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Guenter Gerwig, Michael Kroener Floating-Point Unit in Standard Cell Design with 116 Bit Wide Dataflow. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Srihari Cadambi, Seth Copen Goldstein CPR: A Configuration Profiling Tool. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Michiaki Tatsubori, Shigeru Chiba, Kozo Itano, Marc-Olivier Killijian OpenJava: A Class-Based Macro System for Java. Search on Bibsonomy Reflection and Software Engineering The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Massimo Violante Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Alessandro Bogliolo, Luca Benini, Giovanni De Micheli Characterization-Free Behavioral Power Modeling. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Modeling, Power consumption, RTL Simulation
11Michael Karasick The Architecture of Montana: An Open and Extensible Programming Environment with an Incremental C++. Search on Bibsonomy SIGSOFT FSE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF conpilation, incremental development environments, C++, C++, frameworks, programming environments, extensible systems, incremental compilation
11Masatomo Hashimoto First-Class Contexts in ML. Search on Bibsonomy ASIAN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Algorithmic and Architectural Transformations for Low Power Realization of FIR Filters. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Hardware/Software High Level Synthesis, Low Power Design, FIR Filters
11Ira D. Baxter, Andrew Yahin, Leonardo Mendonça de Moura, Marcelo Sant'Anna, Lorraine Bier Clone Detection Using Abstract Syntax Trees. Search on Bibsonomy ICSM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Design Maintenance System, Software maintenance, clone detection, software evaluation
11Ralph Frisbie, Richard Hendrickson, Michael Metcalf The F Programming Language. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FCL
11László Csaba Experience with User Interface Reengineering Transferring DOS Panels to Windows. Search on Bibsonomy CSMR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Artificial User Program, S-Prog, Word Basic, Windows, Remote Controlling, DOS, User Interface Reengineering
11Stephen Siu, Ajit Singh Design Patterns for Parallel Computing using a Network of Processors. Search on Bibsonomy HPDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Saman Adham, Sanjay Gupta DP-BIST: A Built-In Self Test For DSP DataPaths A Low Overhead and High Fault Coverage Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Vinod Narayanan, Barbara A. Chappell, Bruce M. Fleischer Static timing analysis for self resetting circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Gail C. Murphy, David Notkin, Erica S.-C. Lan An Empirical Study of Static Call Graph Extractors. Search on Bibsonomy ICSE The full citation details ... 1996 DBLP  BibTeX  RDF C call graphs, CIA, cflow, extraction tools, gee, mapmaker, mk-functmap, rigiparse, static call graph extractors, understanding task, optimization, software engineers, compilers, graph theory, software tools, program understanding, program compilers, mosaic, software systems, program diagnostics, quantitative analysis, qualitative analysis, Field, software engineering tools, false negatives
11Georges Gardarin, Fernando Machuca, Philippe Pucheral OFL: A Functional Execution Model for Object Query Languages. Search on Bibsonomy SIGMOD Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Brian T. Graham An Interpretation of NODEN in HOL. Search on Bibsonomy TPHOLs The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Harley Davis, Pierre Parquier, Nitsan Séniak Talking About Modules and Delivery. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF LISP
11Henry Lieberman Mondrian: a teachable graphical editor. Search on Bibsonomy INTERCHI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF direct-manipulation interfaces, machine learning, artificial intelligence, end-user programming, programming by demonstration, graphical editing
11Hans-Rudolf Heeb, Wolfgang Fichtner A module generator based on the PQ-tree algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Ana Bove, Laura Arbilla A Confluent Calculus of Macro Expansion and Evaluation. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Wing K. Luk, Alvar A. Dean Multistack optimization for data-path chip layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Kenneth A. Kaufman, Ryszard S. Michalski, Larry Kerschberg Knowledge Extraction from Databases: Design Princiles of the INLEN System. Search on Bibsonomy ISMIS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11David J. Thuente, Robert L. Sedlmeyer A macro preprocessor for the simulation language network II.5. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1990 DBLP  DOI  BibTeX  RDF C
11Alan Bawden, Jonathan Rees Syntactic Closures. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
11Eugene E. Kohlbecker, Mitchell Wand Macro-by-Example: Deriving Syntactic Transformations from their Specifications. Search on Bibsonomy POPL The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
11Peter S. Hauge, Ellen J. Yoffa Vanguard: a chip physical design system. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
11Scott Davidson 0001 High level design automation tools (session overview). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
11Ellen J. Yoffa, Peter S. Hauge ACORN: a local customization approach to DCVS physical design. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
11James D. Mooney MFS: a modular text formatting system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
11Hanan Samet A Coroutine Approach to Parsing. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
11Wilfred J. Hansen Compact list representation: definition, garbage collection, and system implementation. Search on Bibsonomy Commun. ACM The full citation details ... 1969 DBLP  DOI  BibTeX  RDF compact list, free storage, list processing system, list representation, plex, plex processing, primitive list operations, storage reclamation, data structure, garbage collection, LISP, LISP, pointer, data representation, macro, list, relocation, list structure
11John R. B. Whittlesey A rapid method for digital filtering. Search on Bibsonomy Commun. ACM The full citation details ... 1964 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #479 of 479 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license