The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlist with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (26) 1991-1993 (19) 1994-1995 (21) 1996-1997 (35) 1998 (15) 1999 (25) 2000 (27) 2001 (17) 2002 (30) 2003 (46) 2004 (40) 2005 (30) 2006 (43) 2007 (34) 2008 (29) 2009 (22) 2010-2012 (17) 2013-2015 (16) 2016-2018 (19) 2019-2020 (22) 2021-2022 (27) 2023 (19) 2024 (4)
Publication types (Num. hits)
article(166) inproceedings(416) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 437 occurrences of 290 keywords

Results
Found 583 publication records. Showing 583 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Jianhui Xing, Hong Wang, Shiyuan Yang Constructing Transparency Paths for IP Cores Using Greedy Searching Strategy. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Enrico Macii Leakage power optimization in standard-cell designs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Rupesh S. Shelar, Sachin S. Sapatnekar, Prashant Saxena, Xinning Wang A predictive distributed congestion metric and its application to technology mapping. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF congestion prediction, technology mapping
10Mario R. Casu, Luca Macchiarulo Floorplanning for throughput. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems-on-chip, throughput, floorplanning, wire pipelining
10Dennis K. Y. Tong, Evangeline F. Y. Young Performance-driven register insertion in placement. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF post-retiming, register insertion, placement
10Jie-Hong Roland Jiang, Alan Mishchenko, Robert K. Brayton On breakable cyclic definitions. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Rajesh Bollapragada 3D-VLSI Design Tool. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10David A. Papa, Saurabh N. Adya, Igor L. Markov Constructive benchmarking for placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placer, performance, evaluation, benchmark, comparison
10M. Moiz Khan, Spyros Tragoudas Rewiring for Watermarking Digital Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ganesh K. Venayagamoorthy, Venu G. Gudise Swarm Intelligence for Digital Circuits Implementation on Field Programmable Gate Arrays Platforms. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10PariVallal Kannan, Dinesh Bhatia Estimating Pre-Placement FPGA Interconnection Requirements. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Pranav Anbalagan, Jeffrey A. Davis Maximum Multiplicity Distributions for Length Prediction Driven Placement. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Narender Hanchate, Nagarajan Ranganathan A New Technique for Leakage Reduction in CMOS Circuits using Self-Controlled Stacked Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Qinghua Liu, Malgorzata Marek-Sadowska Pre-layout wire length and congestion estimation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prediction, congestion, wire length
10Paul K. Rodman Forest vs. trees: where's the slack? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Raymond A. Wildman, Joshua I. Kramer, Daniel S. Weile, Phillip Christie Multi-objective optimization of interconnect geometry. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10O. Milter, Avinoam Kolodny Crosstalk noise reduction in synthesized digital logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ingmar Neumann, Wolfgang Kunz Layout driven retiming using the coupled edge timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Michael J. Wirthlin, Brian McMurtrey Web-based IP evaluation and distribution using applets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Yongseok Cheon, Martin D. F. Wong Design hierarchy-guided multilevel circuit partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Arun Raghupathy, Nitin Chandrachoodan, K. J. Ray Liu Algorithm and VLSI architecture for high performance adaptive video scaling. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tilman Glökler, Andreas Hoffmann 0002, Heinrich Meyr Methodical Low-Power ASIP Design Space Exploration. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ICORE, low power, ASIP, application-specific instruction set processor, low energy, LISA
10Nur Engin, Hans G. Kerkhoff Fast Fault Simulation for Nonlinear Analog Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Behzad Akbarpour, Sofiène Tahar Modeling System C Fixed-Point Arithmetic in HOL. Search on Bibsonomy ICFEM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10John T. O'Donnell Embedding a Hardware Description Language in Template Haskell. Search on Bibsonomy Domain-Specific Program Generation The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jean Oudinot The Most Complete Mixed-Signal Simulation Solution with ADVance MS. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Michael G. Wrighton, André DeHon Hardware-assisted simulated annealing with application for fast FPGA placement. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, simulated annealing, placement, reconfigurable computing, design automation
10Mehrdad Eslami Dehkordi, Stephen Dean Brown Recursive circuit clustering for minimum delay and area. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ketan Padalia, Ryan Fung, Mark Bourgeault, Aaron Egier, Jonathan Rose Automatic transistor and physical design of FPGA tiles from an architectural specification. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, PLD, automatic layout
10Fei Li 0003, Deming Chen, Lei He 0001, Jason Cong Architecture evaluation for power-efficient FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA power model, low power design, FPGA architecture
10Akshay Sharma, Carl Ebeling, Scott Hauck PipeRoute: a pipelining-aware router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BFS, PipeRoute, retimed circuits, routing, pipelining, minimum spanning tree, retiming, pipelined circuits
10Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi The VPI-Based Combinational IP Core Module-Based Mixed Level Serial Fault Simulation and Test Generation Methodology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Hugo Hedberg, Thomas Lenart, Henrik Svensson, Peter Nilsson 0001, Viktor Öwall Teaching Digital HW-Design by Implementing a Complete MP3 Decoder. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Huaiyu Xu, Maogang Wang, Bo-Kyung Choi, Majid Sarrafzadeh A Trade-off Oriented Placement Tool. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Quality, Placement, Runtime
10Qi Wang, Sumit Roy 0003 RTL Power Optimization with Gate-Level Accuracy. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Josep Carmona 0001, Jordi Cortadella ILP Models for the Synthesis of Asynchronous Control Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chuan Lin 0002, Hai Zhou 0001 Retiming for Wire Pipelining in System-On-Chip. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Thomas Lenart, Viktor Öwall A 2048 complex point FFT processor using a novel data scaling approach. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Masud H. Chowdhury, Chirayu S. Amin, Yehea I. Ismail, Chandramouli V. Kashyap, Byron Krauter Realizable reduction of RLC circuits using node elimination. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ewan Mardhana, Tohru Ikeguchi Neurosearch: a program library for neural network driven search meta-heuristics. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Sadiq M. Sait, Aiman H. El-Maleh, Raslan H. Al-Abaji General iterative heuristics for VLSI multiobjective partitioning. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rolf Drechsler, Junhao Shi, Görschwin Fey MuTaTe: an efficient design for testability technique for multiplexor based circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiplexor based circuits, design for testability, logic synthesis, BDDs, decision diagrams
10Ananta K. Majhi, Guido Gronthoud, Camelia Hora, Maurice Lousberg, Pop Valer, Stefan Eichenberger Improving Diagnostic Resolution of Delay Faults using Path Delay Fault Model. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mahesh A. Iyer Race: A Word-Level ATPG-Based Constraints Solver System For Smart Random Simulation. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Kevin Melocco, Hina Arora, Paul Setlak, Gary Kunselman, Shazia Mardhani A Comprehensive Approach to Assessing and Analyzing 1149.1 Test Logic. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tom Waayers An improved Test Control Architecture and Test Control Expansion for Core-Based System Chips. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Robert Thomson 0003, Tughrul Arslan The Evolutionary Design and Synthesis of Non-Linear Digital VLSI Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chirayu S. Amin, Masud H. Chowdhury, Yehea I. Ismail Realizable RLCK circuit crunching. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crunching, simulation, interconnect, passive, realizable, model order reduction
10Bo Hu 0006, Malgorzata Marek-Sadowska Wire length prediction based clustering and its application in placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF wire length prediction, clustering, placement
10Stelian Alupoaei, Srinivas Katkoori Net-based force-directed macrocell placement for wirelength optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Qi Wang, Sarma B. K. Vrudhula Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Shantanu Dutt, Vinay Verma, Hasan Arslan A search-based bump-and-refit approach to incremental routing for ECO applications in FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Bump-and-refit (B&R) paradigm, ECO (engineering change order), bumping cost, incremental routing, switchbox, field programmable gate arrays, dynamic programming, global routing, detailed routing
10Thomas Kutzschebauch, Leon Stok Layout Driven Decomposition with Congestion Consideration. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Rolf Drechsler, Wolfgang Günther 0001, Thomas Eschbach, Lothar Linhard, Gerhard Angst Recursive Bi-Partitioning of Netlists for Large Number of Partitions. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Aneesh Koorapaty, Lawrence T. Pileggi Modular, Fabric-Specific Synthesis for Programmable Architectures. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yongseok Cheon, D. F. Wong 0001 Design hierarchy guided multilevel circuit partitioning. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustering, Rent's rule, circuit partitioning, design hierarchy
10John O'Donnell 0001 Overview of Hydra: A Concurrent Language for Synchronous Digital Circuit Design. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Brad L. Hutchings, R. Franklin, D. Carver Assisting Network Intrusion Detection with Reconfigurable Hardware. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Sasha Novakovsky, Shy Shyman, Ziyad Hanna High capacity and automatic functional extraction tool for industrial VLSI circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Formal Equivalence Verification (FEV), Hardware Description Languages (HDL), Switch Level Analysis, functional abstraction, satisfiability procedures, synthesis, Design For Testability (DFT), logic simulation, Binary Decision Diagrams (BDDs)
10Deshanand P. Singh, Stephen Dean Brown Incremental placement for layout driven optimizations on FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Muzammil Iqbal, Ahmed Sharkawy, Usman Hameed, Phillip Christie Stochastic wire length sampling for cycle time estimation. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cycle time estimates, wire sampling, performance modeling, physical design
10Amit Singh 0001, Malgorzata Marek-Sadowska FPGA interconnect planning. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Wei-Jin Dai, Michel Courtoy Hierarchical Front-End Physical Design Solution Drives Modified Hand-Off (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Olivier Coudert Timing and Design Closure in Physical Design Flows (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Macrocell Placement. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Macrocell placement, net clustering, net placement, net prioritization, force-directed placement, iterative improvement
10Debasis Samanta, Nishant Sinha 0001, Ajit Pal Synthesis of High Performance Low Power Dynamic CMOS Circuits. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gabriela Nicolescu, S. Martinez, Lobna Kriaa, Wassim Youssef, Sungjoo Yoo, Benoît Charlot, Ahmed Amine Jerraya Application of Multi-Domain and Multi-Language Cosimulation to an Optical MEM Switch Design. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF heterogeneous systems design, MOEMS, cosimulation
10Michael J. Wirthlin, Brian McMurtrey IP delivery for FPGAs using Applets and JHDL. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF JHDL, FPGA, intellectual property, applet
10Michael Santarini, Sudhakar Jilla, Mark Miller, Tommy Eng, Sandeep Khanna, Kamalesh N. Ruparel, Tom Russell, Kazu Yamada Whither (or wither?) ASIC handoff? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Srihari Cadambi, Chandra Mulpuri, Pranav Ashar A fast, inexpensive and scalable hardware acceleration technique for functional simulation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, hardware acceleration, VLIW, functional simulation
10Piet Vanassche, Georges G. E. Gielen, Willy M. C. Sansen Behavioral modeling of (coupled) harmonic oscillators. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coupled harmonic oscillators, behavioral modeling, averaging, perturbation theory
10Cho W. Moon, Harish Kriplani, Krishna P. Belkhale Timing model extraction of hierarchical blocks by graph reduction. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, John C. Lach, William H. Mangione-Smith, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, Gregory Wolfe Constraint-based watermarking techniques for design IP protection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian A Discussion on Test Pattern Generation for FPGA - Implemented Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG
10Rolf Drechsler, Wolfgang Günther 0001, Lothar Linhard, Gerhard Angst Level Assignment for Displaying Combinational Logic. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Subash Chandar G., S. Vaideeswaran Addressing verification bottlenecks of fully synthesized processor cores using equivalence checkers. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jinan Lou, Shankar Krishnamoorthy, Henry S. Sheng Estimating routing congestion using probabilistic analysis. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Peter Verplaetse, Joni Dambre, Dirk Stroobandt, Jan Van Campenhout On partitioning vs. placement rent properties. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF wire length distribution, partitioning, placement, estimation, Rent's rule
10André DeHon Rent's rule based switching requirements. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF switching requirements, hierarchical networks, Rent's rule
10Ingmar Neumann, Wolfgang Kunz Tight coupling of timing-driven placement and retiming. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Wei-Hsin Chang, Shuenn-Der Tzeng, Chen-Yi Lee A novel subcircuit extraction algorithm by recursive identification scheme. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Hen-Ming Lin, Jing-Yang Jou On tri-state buffer inference in HDL synthesis. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Yu Cao 0001, Xuejue Huang, Chenming Hu, Norman Chang, Shen Lin, O. Sam Nakagawa, Weize Xie Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Debesh Kumar Das, Bhargab B. Bhattacharya, Satoshi Ohtake, Hideo Fujiwara Testable Design of Sequential Circuits with Improved Fault Efficiency. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Sujatha Sundararaman, Sriram Govindarajan, Ranga Vemuri Application Specific Macro Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Sree Ganesan, Ranga Vemuri Library Binding for High-Level Synthesis of Analog Systems. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Johnny Pihl Design automation with the TSPC circuit technique: a high-performance wave digital filter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Shantanu Dutt, Wenyong Deng Probability-based approaches to VLSI circuit partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Paul Tafertshofer, Andreas Ganz, Kurt Antreich IGRAINE-an Implication GRaph-bAsed engINE for fast implication, justification, and propagation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10John Marty Emmert, Dinesh K. Bhatia A Fault Tolerant Technique for FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF incremental reconfiguration, incremental routing, incremental placement, fault tolerance, FPGA
10Manuela Anton, Mauro Chinosi, Daniele Sirtori, Roberto Zafalon Architectural Design Space Exploration Achieved through Innovative RTL Power Estimation Techniques. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Ivan Blunno, Luciano Lavagno Automated Synthesis of Micro-Pipelines from Behavioral Verilog HDL. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Srini Krishnamoorthy, Sriram Swaminathan, Russell Tessier Area-Optimized Technology Mapping for Hybrid FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Philip James-Roxby, Steven A. Guccione Automated Extraction of Run-Time Parameterizable Cores from Programmable Device Configurations. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10José Ignacio Hidalgo, Juan Lanchares, Román Hermida Partitioning and Placement for Multi-FPGA Systems Using Genetic Algorithms. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Thomas Kutzschebauch Efficient Logic Optimization Using Regularity Extraction. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Subarnarekha Sinha, Sunil P. Khatri, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Binary and Multi-Valued SPFD-Based Wire Removal in PLA Networks. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 583 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license