The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1991 (16) 1992-1995 (20) 1996-1998 (26) 1999 (18) 2000 (21) 2001-2002 (27) 2003 (42) 2004 (48) 2005 (53) 2006 (67) 2007 (59) 2008 (54) 2009 (33) 2010-2011 (22) 2012-2013 (17) 2014-2015 (16) 2016-2018 (22) 2019-2020 (19) 2021-2022 (27) 2023-2024 (18)
Publication types (Num. hits)
article(144) incollection(4) inproceedings(469) phdthesis(8)
Venues (Conferences, Journals, ...)
FPL(34) FCCM(13) DATE(12) IPDPS(12) IEEE Trans. Computers(11) ISCAS(11) AHS(10) ETFA(10) CoRR(9) ARC(8) FPGA(8) VTC Spring(8) DAC(7) VLSI Design(7) ICRA(6) CASES(5) More (+10 of total 310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 560 occurrences of 366 keywords

Results
Found 625 publication records. Showing 625 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10M. Sudhakar, Ramachandruni Venkata Kamala, M. B. Srinivas A Unified, Reconfigurable Architecture for Montgomery Multiplication in Finite Fields GF(p) and GF(2^n). Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shaowu Peng, Liang Lin, Jake Porway, Nong Sang, Song Chun Zhu Object Category Recognition Using Generative Template Boosting. Search on Bibsonomy EMMCVPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jose L. Martinez Lastra, Ivan M. Delamer Semantic web services in factory automation: fundamental insights and research roadmap. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Fei Su, Krishnendu Chakrabarty, Richard B. Fair Microfluidics-Based Biochips: Technology Issues, Implementation Platforms, and Design-Automation Challenges. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Fei Su, Krishnendu Chakrabarty Module placement for fault-tolerant microfluidics-based biochips. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Physical design automation, microfluidics, biochips, module placement
10Nikos Migas, William J. Buchanan An auto-Configurable, and, Adaptable, Metric-driven Cluster-head Organisation for Hybrid Multi-hop Routing. Search on Bibsonomy ECBS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Qiang Xie, Jinfeng Liu 0006, Pai H. Chou Tapper: a lightweight scripting engine for highly constrained wireless sensor nodes. Search on Bibsonomy IPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource constrained systems, scripting engine, wireless sensor platform, scripting, test and debugging, reprogramming
10Jinsung Choi Reconfigurable Platform for Digital Convergence Terminals. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sunil Shukla, Neil W. Bergmann, Jürgen Becker 0001 QUKU: A Two-Level Reconfigurable Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Marco Giorgetta, Marco D. Santambrogio, Donatella Sciuto, Paola Spoletini A graph-coloring approach to the allocation and tasks scheduling for reconfigurable architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Matteo Murgida, Alessandro Panella, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto Fast IP-Core Generation in a Partial Dynamic Reconfiguration Workflow. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Farhad Aghili, Kourosh Parsa Design of a Reconfigurable Space Robot with Lockable Telescopic Joints. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mark Holland, Scott Hauck Improving performance and robustness of domain-specific CPLDs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computer-aided design, system-on-a-chip, reconfigurable logic, CPLD, sparse crossbar
10Marc Schinnenburg, Fabian Debus, Ralf Pabst Application of Functional Unit Networks to Next Generation Radio Networks. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Luca Serafini, F. Carrai, Tommaso Ramacciotti, V. Zolesi Multi-sensor configurable platform for automotive applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Lucia Bissi, Pisana Placidi, Giuseppe Baruffa, Andrea Scorzoni A Multi-Standard Reconfigurable Viterbi Decoder using Embedded FPGA Blocks. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Matteo Sonza Reorda, Luca Sterpone, Massimo Violante, Marta Portela-García, Celia López-Ongil, Luis Entrena Fault Injection-based Reliability Evaluation of SoPCs. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault Identification in Reconfigurable Carry Lookahead Adders Targeting Nanoelectronic Fabrics. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Philippe Jetté Enabling the future mobile environment. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mobility, wireless communications, IP
10Ronald Baumann, Christian Engelmann, Al Geist A Parallel Plug-In Programming Paradigm. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ing-Ray Chen, Jin-Hee Cho, Ding-Chau Wang Performance Characteristics of Region-Based Group Key Management in Mobile Ad Hoc Networks. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Suhaib A. Fahmy Investigating Trace Transform Architectures for Face Authentication. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jürgen Teich, Stefanos Kaxiras, Toomas P. Plaks, Krisztián Flautner Topic 18: Embedded Parallel Systems. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Maik Nijhuis, Herbert Bos, Henri E. Bal Supporting Reconfigurable Parallel Multimedia Applications. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hyojeong Shin, Hojung Cha Supporting Application-Oriented Kernel Functionality for Resource Constrained Wireless Sensor Nodes. Search on Bibsonomy MSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ricardo P. Jacobi, Renato B. Cardoso, Geovany Araujo Borges VoC: a reconfigurable matrix for stereo vision processing. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Brian Braunstein, Troy Trimble, Rajesh Mishra, B. S. Manoj 0001, Ramesh R. Rao On The Traffic Behavior of Distributed Wireless Mesh Networks. Search on Bibsonomy WOWMOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kay Soon Low, Vinitha Krishnan, Hualiang Zhuang, Wei-Yun Yau On-Chip Genetic Algorithm Optimized Pulse Based RBF Neural Network for Unsupervised Clustering Problem. Search on Bibsonomy ICNC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Stamatis Vassiliadis, Georgi Kuzmanov, Stephan Wong, Elena Moscu Panainte, Georgi Gaydadjiev, Koen Bertels, Dmitry Cheresiz PISC: Polymorphic Instruction Set Computers. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kazuo Sakiyama, Nele Mentens, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Reconfigurable Modular Arithmetic Logic Unit for High-Performance Public-Key Cryptosystems. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RSA, Elliptic Curve Cryptography (ECC), Public-Key Cryptography (PKC), Reconfigurable architecture, FPGA implementation
10Stephen S. Yau Managing Trust in Distributed Agent Systems. Search on Bibsonomy ATC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF security, trust, trust management, situation-awareness, Distributed agent systems
10Xiaoying Li, Baoquan Liu, Enhua Wu Double projective cylindrical texture mapping on FPGA. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, image-based rendering, graphics hardware, image warping, depth image
10Igino Folcarelli, Alex E. Susu, Ties Kluter, Giovanni De Micheli, Andrea Acquaviva An opportunistic reconfiguration strategy for environmentally powered devices. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scavenging, sensor network, reconfiguration
10Matthieu Arzel, Fabrice Seguin, Cyril Lahuec, Michel Jézéquel Semi-iterative analog turbo decoding. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10P. Kenterlis, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Mihalis Psarakis A Low-Cost SEU Fault Emulation Platform for SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Osama Daifallah Al-Khaleel, Christos A. Papachristou, Francis G. Wolff, Kiamal Z. Pekmestzi A Large Scale Adaptable Multiplier for Cryptographic Applications. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wim Vanderbauwhede The Gannet Service-Based SoC: A Service-level Reconfigurable Architecture. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ming-Yung Ko, Claudiu Zissulescu, Sebastian Puthenpurayil Parameterized Looped Schedules for Compact Representationof Execution Sequences. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Xianhui He, Yongxin Zhu 0001, Zhenxin Sun, Yuzhuo Fu UML Based Evaluation of Reconfigurable Shape Adaptive DCT for Embedded Stream Processing. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10E. Emanuel Almeida, Jonathan E. Luntz, Dawn M. Tilbury Reconfigurable Logic Control Using IEC 61499 Function Blocks. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Luca Ferrarini, Carlo Veber, Arndt Lüder, Jörn Peschke, Athanasios P. Kalogeras, John V. Gialelis, J. Rode, Daniela Wünsch, Vincent Chapurlat Control Architecture for Reconfigurable Manufacturing Systems: the PABADIS'PROMISE approach. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ivan M. Delamer, Jose L. Martinez Lastra Self-Orchestration and Choreography: Towards Architecture-Agnostic Manufacturing Systems. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tarek A. El-Ghazawi, Dave Bennett, Daniel S. Poznanovic, Allan Cantle, Keith D. Underwood, Rob Pennington, Duncan A. Buell, Alan D. George, Volodymyr V. Kindratenko Reconfigurable supercomputing - Is high-performance reconfigurable computing the next supercomputing paradigm? Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tomoyuki Saiki, Hideyuki Ichihara, Tomoo Inoue A Reconfigurable Embedded Decompressor for Test Compression. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Si-Qing Zheng, Ashwin Gumaste SMART: An Optical Infrastructure for Future Internet. Search on Bibsonomy BROADNETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Apostolos V. Zarras, Manel Fredj, Nikolaos Georgantas, Valérie Issarny Engineering Reconfigurable Distributed Software Systems: Issues Arising for Pervasive Computing. Search on Bibsonomy RODIN Book The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mohamed Ahmed 0001, Robert A. Ghanea-Hercock, Stephen Hailes MACE: adaptive component management middleware for ubiquitous systems. Search on Bibsonomy MPAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Juan P. Wachs, Helman Stern, Yael Edan Cluster labeling and parameter estimation for the automated setup of a hand-gesture recognition system. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Aniket Desai, S. Milner Autonomous Reconfiguration in Free-Space Optical Sensor Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Lih-Yih Chiou, Swarup Bhunia, Kaushik Roy 0001 Synthesis of application-specific highly efficient multi-mode cores for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, high level synthesis, synthesis, Digital signal processing (DSP), application specific integrated circuits (ASIC), reconfigurable system
10Po-Chih Tseng, Chao-Tsung Huang, Liang-Gee Chen Reconfigurable Discrete Wavelet Transform Processor for Heterogeneous Reconfigurable Multimedia Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF heterogeneous reconfigurable multimedia systems, energy efficiency, reconfigurable computing, discrete wavelet transform, lifting scheme
10Roger D. Chamberlain, Mark A. Franklin, Praveen Krishnamurthy, Abhijit Mahajan VLSI Photonic Ring Multicomputer Interconnect: Architecture and Signal Processing Performance. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI photonics, signal processing performance, multicomputer interconnect architecture, optical interconnect
10Jianchu Yao, R. Schmitz, S. Warren A wearable point-of-care system for home use that incorporates plug-and-play and wireless standards. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Paulo Leitão, Armando W. Colombo, Francisco J. Restivo ADACOR: A Collaborative Production Automation and Control Architecture. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF collaborative production automation, intelligent control, flexible manufacturing systems
10Vladimír Marík, Duncan C. McFarlane Industrial Adoption of Agent-Based Technologies. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF distributed computer control systems, intelligent manufacturing systems, industrial production systems, system integration and system architecture, agents, distributed artificial intelligence, industrial control
10Duncan C. McFarlane, Vladimír Marík, Paul Valckenaers Guest Editors' Introduction: Intelligent Control in the Manufacturing Supply Chain. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF manufacturing control, multiagent systems, intelligent control, holon
10Manfred Glesner, Heiko Hinkelmann, Thomas Hollstein, Leandro Soares Indrusiak, Tudor Murgan, Abdulfattah Mohammad Obeid, Mihail Petrov, Thilo Pionteck, Peter Zipf Reconfigurable Embedded Systems: An Application-Oriented Perspective on Architectures and Design Techniques. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Michael Attig, John W. Lockwood A framework for rule processing in reconfigurable network systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Fei Su, Krishnendu Chakrabarty Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Vaidy S. Sunderam Alternative Approaches to High-Performance Metacomputing. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Markus P. J. Fromherz, Lara S. Crawford, Haitham A. Hindi Coordinated Control for Highly Reconfigurable Systems. Search on Bibsonomy HSCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Radu F. Babiceanu, F. Frank Chen Performance evaluation of agent-based material handling systems using simulation techniques. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yoonho Seo, Dong-Pyo Hong, Insu Kim, Dongmok Sheen, Taioun Kim, Gyu-Bong Lee Structure modeling of machine tools and internet-based implementation. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Andrés David García García, Luis Fernando González Pérez, Reynaldo Félix Acuña Power Consumption Management on FPGAs. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Genetic Algorithms, Field Programmable Gate Array, Power Consumption, Partial Reconfiguration, Circuit Design
10Yutian Zhao, Ahmet T. Erdogan, Tughrul Arslan A Low-Power and Domain-Specific Reconfigurable FFT Fabric for System-on-Chip Applications. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Simon Harding, Julian F. Miller Evolution In Materio: Investigating the Stability of Robot Controllers Evolved in Liquid Crystal. Search on Bibsonomy ICES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jiwon Hahn, Qiang Xie, Pai H. Chou Rappit: framework for synthesis of host-assisted scripting engines for adaptive embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive systems, scripting, software synthesis
10Christos-Savvas Bouganis, George A. Constantinides, Peter Y. K. Cheung A Novel 2D Filter Design Methodology for Heterogeneous Devices. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Michael Attig, John W. Lockwood A Framework for Rule Processing in Reconfigurable Network Systems. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Laurel M. Swan, Alex S. Taylor Notes on fridge surfaces. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2005 DBLP  DOI  BibTeX  RDF refrigerators, ethnography, interactive surfaces, home life, magnets
10Florina Almenárez, Mildrey Carbonell, Jordi Forné, M. Francisca Hinarejos, Marc Lacoste, Andrés Marín López, José A. Montenegro Design of an Enhanced PKI for Ubiquitous Networks. Search on Bibsonomy DEXA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jaesoo Lee, Jiyong Park, Seunghyun Han, Seongsoo Hong Extending Software Communications Architecture for QoS Support in SDR Signal Processing. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ali Ahmadinia, Christophe Bobda, Ji Ding, Mateusz Majer, Jürgen Teich, Sándor P. Fekete, Jan van der Veen A Practical Approach for Circuit Routing on Dynamic Reconfigurable Devices. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Carsten Bieser, Klaus D. Müller-Glaser COMPASS - A Novel Concept of a Reconfigurable Platform for Automotive System Development and Test. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Christos-Savvas Bouganis, George A. Constantinides, Peter Y. K. Cheung A novel 2D filter design methodology. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yutian Zhao, Ahmet T. Erdogan, Tughrul Arslan A novel low-power reconfigurable FFT processor. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chip-Hong Chang, Shibu Menon, Bin Cao, Thambipillai Srikanthan A configurable dual moduli multi-operand modulo adder. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Stephen P. Carullo, Chika Nwankpa Nonlinear Modeling of Information Embedded Power Systems On All-Electric Naval Combatants. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Florian Dittmann 0001, Achim Rettberg, Raphael Weber Path Concepts for a Reconfigurable Bit-Serial Synchronous Architecture. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Omar López, Jose L. Martinez Lastra Service-based methodology for controlling reconfigurable mechatronic devices. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Munir Merdan, Ivanka Terzic, Alois Zoitl, Bernard Favre-Bulle Intelligent reconfiguration using knowledge based agent system. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Antoneta I. Bratcu, Alexandre Dolgui, Sana Belmokhtar Reconfigurable transfer lines cost optimization - a linear programming approach. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Mattan Erez, Nuwan Jayasena, Timothy J. Knight, William J. Dally Fault Tolerance Techniques for the Merrimac Streaming Supercomputer. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Paul Grace, Geoff Coulson, Gordon S. Blair, Barry Porter Deep Middleware for the Divergent Grid. Search on Bibsonomy Middleware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Luca Larcher, Paolo Pavan, Alfonso Maurelli Flash Memories for SoC: An Overview on System Constraints and Technology Issues, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10David N. Abramson, Jordan D. Gray, Shyam Subramanian, Paul E. Hasler A Field-Programmable Analog Array Using Translinear Elements. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Vladimír Marík, Pavel Vrba, Kenwood H. Hall, Francisco P. Maturana Rockwell automation agents for manufacturing. Search on Bibsonomy AAMAS Industrial Applications The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, multi-agent systems, manufacturing, PLC, real-time control, material-handling
10Baback A. Izadi, Füsun Özgüner An Augmented k-ary Tree Multiprocessor with Real-Time Fault-Tolerant Capability. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF k-ary tree, augmented multiprocessor, wave switching, fault tolerance, real time, reconfiguration
10Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data communications devices, application studies resulting in better multiple-processor systems, reconfigurable hardware, wireless systems, special-purpose and application-based systems, adaptable architectures, heterogeneous (hybrid) systems, design studies, signal processing systems
10John Teifel, Rajit Manohar An Asynchronous Dataflow FPGA Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Asynchronous/synchronous operation, reconfigurable hardware, gate arrays, dataflow architectures
10Alex Gonsales, Marcelo Lubaszewski, Luigi Carro, Michel Renovell A New FPGA for DSP Applications Integrating BIST Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware test, FPGA, digital signal processing, DSP, BIST, reconfigurable architectures
10Michele Borgatti, Andrea Fedeli, Umberto Rossi, Jean-Luc Lambert, Imed Moussa, Franco Fummi, Cristina Marconcini, Graziano Pravadelli A Verification Methodology for Reconfigurable Systems. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10William C. Rounds A Spatial Logic for the Hybrid p-Calculus. Search on Bibsonomy HSCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yong-Fa Qin, Ming-Yang Zhao Research on optimization method for hybrid assembly line design. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10N. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk A Structured Methodology for System-on-an-FPGA Design. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Mark Holland, Scott Hauck Automatic Creation of Reconfigurable PALs/PLAs for SoC. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Xin Jia, Jayanthi Rajagopalan, Ranga Vemuri A Dynamically Reconfigurable Asynchronous FPGA Architecture. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ali Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programable gate array (FPGA), occupied space manager (OSM), routing-conscious placement, Manhattan metric, line sweep technique, optimal running time, lower bounds, Reconfigurable computing, module placement
10Erik Schüler, Luigi Carro A Low Power FPAA for Wide Band Applications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Stephen S. Yau, Dazhi Huang, Haishan Gong, Siddharth Seth Development and Runtime Support for Situation-Aware Application Software in Ubiquitous Computing Environments. Search on Bibsonomy COMPSAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Situation-aware application software, Situation-Aware Interface Definition Language (SA-IDL), RCSM, development support, ubiquitous computing, runtime support
Displaying result #401 - #500 of 625 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license