The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-checking (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1975 (20) 1976-1979 (15) 1980-1982 (15) 1983-1984 (15) 1985-1988 (28) 1989-1991 (25) 1992-1993 (28) 1994 (20) 1995 (21) 1996 (18) 1997-1998 (40) 1999 (18) 2000 (18) 2001-2002 (42) 2003 (20) 2004 (26) 2005 (20) 2006 (24) 2007-2008 (21) 2009-2011 (17) 2012-2014 (21) 2015-2018 (21) 2019-2021 (15) 2022-2023 (8)
Publication types (Num. hits)
article(207) inproceedings(295) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 609 occurrences of 276 keywords

Results
Found 516 publication records. Showing 516 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Nobuyoshi Izawa Reduced-gate design of totally self-checking checkers for unordered codes. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
11W. Kent Fuchs Concurrent Error Detection in Vlsi Systems Through Structure Encoding (Fault Tolerance, Self-Checking) Search on Bibsonomy 1985   RDF
11Niraj Kumar Jha Topics in Totally Self-Checking Circuits and Testable Cmos Circuits Search on Bibsonomy 1985   RDF
11Ingrid Eleonora Schreiber Jansch Conception de contrôleurs autotestables pour des hypothèses de pannes analytiques. (Design of self checking controllers for hypothesis of analytical failures). Search on Bibsonomy 1985   RDF
11Joseph L. A. Hughes, Edward J. McCluskey, David J. Lu Design of Totally Self-Checking Comparators with an Arbitrary Number of Inputs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
11David J. Lu, Edward J. McCluskey Quantitative Evaluation of Self-Checking Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
11Costas Efstathiou, Constantine Halatsis Modular design of totally self-checking checkers for 1-out-of-n codes. Search on Bibsonomy Fehlertolerierende Rechensysteme The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
11Hugues Deneux, Pascale Thévenod-Fosse Random testing of LSI self-checking circuits. Search on Bibsonomy Fehlertolerierende Rechensysteme The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
11Sridhar R. Manthani, Sudhakar M. Reddy On CMOS Totally Self-Checking Circuits. Search on Bibsonomy ITC The full citation details ... 1984 DBLP  BibTeX  RDF
11W. G. Marshall, W. Forsythe Cyclone I: A self-checking control-oriented multiprocessor. Search on Bibsonomy Softw. Microsystems The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
11David L. Bird, Carlos Urias Munoz Automatic Generation of Random Self-Checking Test Cases. Search on Bibsonomy IBM Syst. J. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
11Marc Courvoisier, Jean Claude Geffroy Cascaded Self-Checking Bus Arbiters for Multicomputer Systems. Search on Bibsonomy RTSS The full citation details ... 1982 DBLP  BibTeX  RDF
11Jean-Michel Ayache, Jean-Pierre Courtiat, Michel Diaz Self-Checking software in distributed systems. Search on Bibsonomy ICDCS The full citation details ... 1982 DBLP  BibTeX  RDF
11J. Abadir, Yves Deswarte Run-Time Program for Self-Checking Single Board Computer. Search on Bibsonomy ITC The full citation details ... 1982 DBLP  BibTeX  RDF
11Kyushik Son, Dhiraj K. Pradhan Completely Self-Checking Checkers in PLAs. Search on Bibsonomy ITC The full citation details ... 1981 DBLP  BibTeX  RDF
11Ali Mili 0001 Self-Checking Programs: An Axiomatic Approach to the Validation of Programs by the Use of Assertions Search on Bibsonomy 1981   RDF
11Dhiraj K. Pradhan, Jack J. Stiffler Error-Correcting Codes and Self-Checking Circuits. Search on Bibsonomy Computer The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
11Yves Crouzet, Christian Landrault Design of Self-Checking MOS-LSI Circuits: Application to a Four-Bit Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
11Michel Diaz, Pierre Azéma, Jean-Michel Ayache Unified Design of Self-Checking and Fail-Safe Combinational Circuits and Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
11René David A Totally Self-Checking 1-Out-of-3 Checker. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
11John J. Shedletsky A Rollback Interval for Networks with an Imperfect Self-Checking Property. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
11Mohamed Abdelaziz Marouf, Arthur D. Friedman Efficient Design of Self-Checking Checker for any m-Out-of-n Code. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
11Scott E. Woodard, Gernot Metze Self-Checking Alternating Logic: Sequential Circuit Design. Search on Bibsonomy ISCA The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
11Mohammad Javad Ashjaee, Sudhakar M. Reddy On Totally Self-Checking Checkers for Separable Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
11Scott E. Woodard Design of Digital Systems Using Self-Checking Alternating Logic Search on Bibsonomy 1977   RDF
11Jean Andre Dussault On the Design of Self-Checking Systems Under Various Fault-Models Search on Bibsonomy 1977   RDF
11James Edward Smith The Design of Totally Self-Checking Combinational Circuits Search on Bibsonomy 1976   RDF
11David Su-Ming Ho The Design of Totally Self-Checking Systems Search on Bibsonomy 1976   RDF
11Alan M. Usas A Totally Self-Checking Checker Design for the Detection of Errors in Periodic Signals. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
11Antonín Svoboda Self-checking adder for large scale integration. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
11Stephen S. Yau, R. C. Cheung Design of self-checking software. Search on Bibsonomy Reliable Software The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
11Füsun Özgüner Design of Totally Self-Checking Asynchronous Sequential Machines Search on Bibsonomy 1975   RDF
11Sudhakar M. Reddy Note on Self-Checking Checkers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
11John F. Wakerly Partially Self-Checking Circuits and Their Use in Performing Logical Operations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
11Herbert Yu-Pang Chang, Robert C. Dorr, Daniel J. Senese The Design of a Microprogrammed Self-Checking Processor of an Electronic Switching System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
11Robert W. Cook, William H. Sisson, Thomas F. Storey, Wing N. Toy Design of a Self-Checking Microprogram Control. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
11Douglas A. Anderson, Gernot Metze Design of Totally Self-Checking Check Circuits for m-Out-of-n Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
11Willard G. Bouricius, William C. Carter, Edward P. Hsieh, Donald C. Jessep Jr., Aspi B. Wadia Modeling of a Bubble-Memory Organization with Self-Checking Translators to Achieve High Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
11Herbert Yu-Pang Chang, Gary W. Heimbigner, Daniel J. Senese, Thomas L. Smith Maintenance Techniques of a Microprogrammed Self-Checking Control Complex of an Electronic Switching System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
11Robert C. Dorr Self-Checking Combinational Logic Binary Counters. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
11Herbert Y. Chang, Robert C. Dorr, R. A. Elliott Logic simulation and fault analysis of a self-checking switching processor. Search on Bibsonomy DAC The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
11Fred Lee An Automatic Self-Checking and Fault-Locating Method. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1962 DBLP  DOI  BibTeX  RDF
11E. J. Casey A self-checking system for high-speed transmission of magnetic-tape digital data. Search on Bibsonomy IRE-ACM-AIEE Computer Conference (Eastern) The full citation details ... 1957 DBLP  DOI  BibTeX  RDF
11Earl Masterson, Abraham Pressman A self-checking high-speed printer. Search on Bibsonomy AIEE-IRE Computer Conference (Eastern) The full citation details ... 1954 DBLP  DOI  BibTeX  RDF
10Delong Shang, Alexandre V. Bystrov, Alexandre Yakovlev, Deepali Koppad On-Line Testing of Globally Asynchronous Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Stanislaw J. Piestrak Design Method of a Class of Embedded Combinational Self-Testing Checkers for Two-Rail Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded circuit, inverter-free circuit, totally self-testing circuit, concurrent error detection, Berger code, self-testing checker, two-rail code
10Ajay Khoche, Erik Brunvand Testing self-timed circuits using partial scan. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential network, partial scan environment, logic testing, sequential circuits, asynchronous circuits, partial scan, data paths, self-timed circuits
10Ajay Khoche, Erik Brunvand A partial scan methodology for testing self-timed circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial scan methodology, control section testing, macromodule based circuits, sequential network, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault coverage, stuck-at faults, integrated logic circuits, boundary scan testing, self-timed circuits
10Peter Böhlau Zero Aliasing Compression Based on Groups of Weakly Independent Outputs in Circuits with High Complexity for Two Fault Models. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF zero aliasing, self-testing circuits, functional properties, groupability, weakly independent outputs, built-in self-test
9Paolo Maistri, Pierre Vanhauwaert, Régis Leveugle Evaluation of Register-Level Protection Techniques for the Advanced Encryption Standard by Multi-Level Fault Injections. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano Fault tolerant design of signed digit based FIR filters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Alexander V. Drozd, M. V. Lobachev, J. V. Drozd The Problem of On-Line Testing Methods In Approximate Data Processing. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Anna Antola, Mariagiovanna Sami, Vincenzo Piuri On-line Diagnosis and Reconfiguration of FPGA Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-line detection, fault tolerance, FPGA, reconfiguration, diagnosis
8Sung-Jui (Song-Ra) Pan, Kwang-Ting Cheng A framework for system reliability analysis considering both system error tolerance and component test quality. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Amit Vasudevan, Ramesh Yerraballi SPiKE: engineering malware analysis tools using unobtrusive binary-instrumentation. Search on Bibsonomy ACSC The full citation details ... 2006 DBLP  BibTeX  RDF security, instrumentation, malware
8Amit Vasudevan, Ramesh Yerraballi Stealth Breakpoints. Search on Bibsonomy ACSAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Anzhela Yu. Matrosova, Vladimir Ostrovsky, Ilya Levin, K. Nikitin Designing FPGA based Self-Testing Checkers for m-out-of-n Codes. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Cecilia Metra, Michele Favalli, Bruno Riccò Compact and low power on-line self-testing voting scheme. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on-line self-testing voting scheme, fault-tolerant techniques, reliability, power consumption, majority voting, triple modular redundancy, area overhead, majority logic, TMR systems
8Michael Nicolaidis Fault secure property versus strongly code disjoint checkers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
6Martin Geisler 0001, Nigel P. Smart Distributing the Key Distribution Centre in Sakai-Kasahara Based Systems. Search on Bibsonomy IMACC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Petr Fiser, Pavel Kubalík, Hana Kubátová An Efficient Multiple-Parity Generator Design for On-Line Testing on FPGA. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Junqiang Zhou, Simeon C. Ntafos, Balakrishnan Prabhakaran 0001 Fault Detection Framework for Video Surveillance Systems. Search on Bibsonomy AVSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano Concurrent Error Detection in Reed-Solomon Encoders and Decoders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Chengying Mao AOP-based Testability Improvement for Component-based Software. Search on Bibsonomy COMPSAC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Georges Gonthier The Four Colour Theorem: Engineering of a Formal Proof. Search on Bibsonomy ASCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Gunjan Khanna, Padma Varadharajan, Saurabh Bagchi Automated Online Monitoring of Distributed Applications through External Monitors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF blackbox detection, temporal and combinatorial rules, Error detection, reliable multicast, monitor system
6Pavel Kubalík, Radek Dobias, Hana Kubátová Dependable Design for FPGA Based on Duplex System and Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano Concurrent error detection in Reed Solomon decoders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Maico Cassel, Fernanda Lima Kastensmidt Evaluating One-Hot Encoding Finite State Machines for SEU Reliability in SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Rui Zhang, Niraj K. Jha Threshold/majority logic synthesis and concurrent error detection targeting nanoelectronic implementations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Kotaro Shimamura, Takeshi Takehara, Yosuke Shima, Kunihiko Tsunedomi A Single-Chip Fail-Safe Microprocessor with Memory Data Comparison Feature. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Miguel León Chávez, Carlos Hernández Rosete, Francisco Rodríguez-Henríquez Achieving Confidentiality Security Service for CAN. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Roshan G. Ragel, Sri Parameswaran, Sayed Mohammad Kia Micro embedded monitoring for security in application specific instruction-set processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro embedded monitoring, microinstructions, self-monitoring instructions, application specific instruction-set processors, security monitoring
6Vladimir Ostrovsky, Ilya Levin Implementation of Concurrent Checking Circuits by Independent Sub-circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Daniele Rossi 0001, Martin Omaña 0001, Fabio Toma, Cecilia Metra Multiple Transient Faults in Logic: An Issue for Next Generation ICs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Sadik Ezer, Scott Johnson Smart diagnostics for configurable processor verification. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded test-bench control, coverage, functional verification, diagnostics, configurable processors
6Keith Whisnant, Ravishankar K. Iyer, Zbigniew Kalbarczyk, Phillip H. Jones III, David A. Rennels, Raphael R. Some The Effects of an ARMOR-Based SIFT Environment on the Performance and Dependability of User Applications. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed systems, high availability, Software-implemented fault tolerance
6Rajanikanth Batchu, Yoginder S. Dandass, Anthony Skjellum, Murali Beddhu MPI/FT: A Model-Based Approach to Low-Overhead Fault Tolerant Message-Passing Middleware. Search on Bibsonomy Clust. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF model-based fault tolerance, MPI, fault detection, cluster computing, group communication
6Jing-Ling Yang, Oliver Chiu-sing Choy, Cheong-Fat Chan, Kong-Pang Pun Pipelines in Dynamic Dual-Rail Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Peter T. Popov, Lorenzo Strigini, A. Kostov, V. Mollov, D. Selensky Software Fault-Tolerance with Off-the-Shelf SQL Servers. Search on Bibsonomy ICCBSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Rakesh Shukla, Paul A. Strooper, David A. Carrington A Framework for Reliability Assessment of Software Components. Search on Bibsonomy CBSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Karina R. G. da Silva, Elmar U. K. Melcher, Guido Araujo, Valdiney Alves Pimenta An automatic testbench generation tool for a SystemC functional verification methodology. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Brazilip, SCV, VeriSC, tool, SystemC
6Cecilia Metra, T. M. Mak, Martin Omaña 0001 Fault secureness need for next generation high performance microprocessor design for testability structures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF built in self test, design for testability, microprocessor, comparator, fault secureness
6Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano A Signed Digit Adder with Error Correction and Graceful Degradation Capabilities. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Cecilia Metra, A. Ferrari, Martin Omaña 0001, Andrea Pagni Hardware Reconfiguration Scheme for High Availability Systems. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Rogério de Lemos Architecting Web Services Applications for Improving Availability. Search on Bibsonomy WADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6B. Kiran Kumar, Parag K. Lala On-line Detection of Faults in Carry-Select Adders. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Tim Miller, Paul A. Strooper Supporting the Software Testing Process through Specification Animation. Search on Bibsonomy SEFM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Cecilia Metra, Michele Favalli, Stefano Di Francescantonio, Bruno Riccò On-Chip Clock Faults' Detector. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock faults, systems-on-a-chip, on-line testing
6Keith Whisnant, Ravishankar K. Iyer, P. Jones, Raphael R. Some, David A. Rennels An Experimental Evaluation of the REE SIFT Environment for Spaceborne Applications. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6D. Bied-Charreton, D. Guillon, B. Jacques The YATE Fail-Safe Interface: The User's Point of View. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6D. Bied-Charreton, D. Guillon, B. Jacques The YATE Fail-Safe Interface: The User's Point of View. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Fabio Salice, Mariagiovanna Sami, Renato Stefanelli Fault-Tolerant CAM Architectures: A Design Framework. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Ravishankar K. Iyer, Zbigniew Kalbarczyk Measurement-Based Analysis of System Dependability Using Fault Injection and Field Failure Data. Search on Bibsonomy Performance The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Vitalij Ocheretnij, Egor S. Sogomonyan, Michael Gössel A New Code-Disjoint Sum-Bit Duplicated Carry Look-Ahead Adder for Parity Codes. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Rajanikanth Batchu, Anthony Skjellum, Zhenqian Cui, Murali Beddhu, Jothi P. Neelamegam, Yoginder S. Dandass, Manoj Apte MPI/FTTM: Architecture and Taxonomies for Fault-Tolerant, Message-Passing Middleware for Performance-Portable Parallel Computing. Search on Bibsonomy CCGRID The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Vitalij Ocheretnij, Michael Gössel, Egor S. Sogomonyan Code-Disjoint Carry-Dependent Sum Adder with Partial Look-Ahead. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Luis Entrena, Celia López, Emilio Olías, Enrique San Millán, José Alberto Espejo Logic Optimization of Unidirectional Circuits with Structural Methods. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Alessandro Orso, Mary Jean Harrold, David S. Rosenblum Component Metadata for Software Engineering Tasks. Search on Bibsonomy EDO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF metadata, Components, component-based systems, distributed components
6Michele Favalli, Cecilia Metra Bus crosstalk fault-detection capabilities of error-detecting codes for on-line testing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 516 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license