The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase time-to-market (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1995 (17) 1996-1997 (20) 1998 (26) 1999 (34) 2000 (37) 2001 (51) 2002 (61) 2003 (62) 2004 (89) 2005 (94) 2006 (94) 2007 (95) 2008 (73) 2009 (52) 2010-2013 (15) 2014-2019 (17) 2022-2024 (10)
Publication types (Num. hits)
article(147) incollection(1) inproceedings(696) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 849 occurrences of 591 keywords

Results
Found 847 publication records. Showing 847 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
5John A. Stankovic VEST - A Toolset for Constructing and Analyzing Component Based Embedded Systems. Search on Bibsonomy EMSOFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Ton Dekkers Project Improvement as Start-Up. Search on Bibsonomy PROFES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Mariagrazia Graziano, Guido Masera, Gianluca Piccinini, Maurizio Zamboni Hierarchical power supply noise evaluation for early power grid design prediction. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Pierluigi Daglio, M. Araldi, Michele Morbarigazzi, Carlo Roma A Fully Qualified Analog Design Flow for Non Volatile Memories Technologies. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Vineet Sahula, C. P. Ravikumar The Hierarchical Concurrent Flow Graph Approach for Modeling and Analysis of Design Processes. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Keith H. Bennett, Malcolm Munro, Nicolas Gold, Paul J. Layzell 0001, David Budgen, Pearl Brereton An Architectural Model for Service-Based Software with Ultra Rapid Evolution. Search on Bibsonomy ICSM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Volker Gruhn, Lothar Schöpe, Matthias Book A Specific Software Development Process for an Electronic Commerce Portal. Search on Bibsonomy APAQS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Frank Slomka, Matthias Dörfel, Ralf Münzenberger, Richard Hofmann Hardware/Software Codesign and Rapid Prototyping of Embedded Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
5Richard Goldman, Karen Bartleson Tool Interoperability is Key to Improved Design Quality. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
5Krishnendu Chakrabarty Design of System-on-a-Chip Test Access Architectures using Integer Linear Programming. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test data bandwidth, linearization, test access mechanism (TAM), testing time, Embedded core testing, test bus
5Mark E. Dean Trends in Computing. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
5Chi-Feng Wu, Cheng-Wen Wu Testing Interconnects of Dynamic Reconfigurable FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
5Luc Bianco, Michel Auguin, Alain Pegatoquet A Prototyping Method of Embedded Real Time Systems for Signal Processing Applications. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
5William Fornaciari, Donatella Sciuto HW/SW Co-design of Embedded Systems. Search on Bibsonomy Ada-Europe The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
5Carol Pyron, Javier Prado, James Golab Test Strategy for the PowerPC 750 Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
5Guido Post, Andrea Müller, Thorsten Grötker A System-Level Co-Verification Environment for ATM Hardware Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test bench design and reuse, ATM hardware design, system design methodology, co-simulation, interface modeling, co-verification
5Silvije Jovalekic, Bernd Rist Impact of Object-Oriented Software Engineering Applied to the Development of Security Systems. Search on Bibsonomy SAFECOMP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
5James E. Saultz Rapid Prototyping of Application-Specific Signal Processors (RASSP) In-Progress Report. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
5Peter F. A. Middelhoek, Sreeranga P. Rajan From VHDL to efficient and first-time-right designs: a formal approach. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CDFG, SFG, rapid system prototyping, VHDL, VHDL, design methodology, correctness by construction, transformational design
5David N. Card Guest Editor's Introduction: The RAD Fad- Is Timing Really Everything? Search on Bibsonomy IEEE Softw. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
5Emmanuel Henry, Benoît Faller Large-Scale Industrial Reuse to Reduce Cost and Cycle Time. Search on Bibsonomy IEEE Softw. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
4Ines Viskic, Lochi Yu, Daniel Gajski Design exploration and automatic generation of MPSoC platform TLMs from Kahn Process Network applications. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF kahn process, transaction level model, automatic generation, process network, process mapping
4David Cuesta, José Luis Ayala, José Ignacio Hidalgo, Massimo Poncino, Andrea Acquaviva, Enrico Macii Thermal-aware floorplanning exploration for 3D multi-core architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D, floorplanning, MPSoC, temperature
4Thomas Harms, Juan-Antonio Caraballo, Reynold D'Sa, Ruud A. Haring, Derek Urbaniak, Guntram Wolski, James You What will make your next design experience a much better one? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
4Chuan Duan, Paula Laurent, Jane Cleland-Huang, Charles Kwiatkowski Towards automated requirements prioritization and triage. Search on Bibsonomy Requir. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Requirements triage, Data mining, Non-functional requirements, Requirements prioritization
4Alastair Colin Murray, Richard Vincent Bennett, Björn Franke, Nigel P. Topham Code transformation and instruction set extension. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF source-level transformations, compilers, design space exploration, ASIPs, instruction set extension, Customizable processors
4Karin Avnit, Vijay D'Silva, Arcot Sowmya, S. Ramesh, Sri Parameswaran Provably correct on-chip communication: A formal approach to automatic protocol converter synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF converter synthesis, protocol compatibility, System-on-chip, automatic design
4Tamás Vajk, Róbert Kereskényi, Tihamer Levendovszky, Ákos Lédeczi Raising the Abstraction of Domain-Specific Model Translator Development. Search on Bibsonomy ECBS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Zhongbo Cao, Ramon Mercado, Diane T. Rover System-level memory modeling for bus-based memory architecture exploration. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Carlo Galuzzi Introduction to Instruction-Set Customization. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Francielle S. Santos, Hermano P. Moura What is wrong with the software development?: research trends and a new software engineering paradigm. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intervention theory, software engineering, communication, augmented reality, knowledge management
4Maman Abdurohman, Kuspriyanto, Sarwono Sutikno, Arif Sasongko Transaction Level Modeling for Early Verification on Embedded System Design. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4P. Subramanian, Jagonda Patil, Manish Kumar Saxena FPGA prototyping of a multi-million gate System-on-Chip (SoC) design for wireless USB applications. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ECMA-368, FPGA-physical implementation, FPGA-synthesis, SoC (system-on-chip), synthesis constraints, FPGA, ASIC (application specific integrated circuits), functional verification, clock gating
4Zhenxin Sun, Weng-Fai Wong A UML-based approach for heterogeneous IP integration. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Malgorzata Mochól, Tobias Bürger, Markus Luczak-Rösch, Elena Simperl, Lyndon J. B. Nixon, Agata Filipowska, Christoph Tempich Enterprise X.0 and ECONOM Workshops Chairs' Message. Search on Bibsonomy BIS (Workshops) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Chien Pang Lu, Mango Chia-Tso Chao, Chen Hsing Lo, Chih-Wei Chang A metal-only-ECO solver for input-slew and output-loading violations. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF input skew violation, output loading, buffer insertion, eco
4Loïc Lagadec, Damien Picard Software-like debugging methodology for reconfigurable platforms. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Pilar Rodríguez 0002, Agustín Yagüe, Pedro Pablo Alarcón, Juan Garbajosa Some Findings Concerning Requirements in Agile Methodologies. Search on Bibsonomy PROFES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Akos Szoke Decision Support for Iteration Scheduling in Agile Environments. Search on Bibsonomy PROFES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF iteration planning, scheduling, agile planning
4Matthew M. Ziegler, Victor V. Zyuban, George Gristede, Milena Vratonjic, Joshua Friedrich The opportunity cost of low power design: a case study in circuit tuning. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power design, productivity, circuit tuning
4Don S. Batory On the importance and challenges of FOSD. Search on Bibsonomy FOSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF science of automated design, verification, testing, features, feature interactions, feature-oriented software development
4Andreas W. Liehr, Klaus Buchenrieder Transforming UML-Based System Descriptions into Simulation Models as Part of System Development Frameworks. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hardware / Software Co-Design, Unified Modeling Language, System Modeling, Performance Simulation, Queuing Network Models
4Ramamurthy Vishweshwara, Ramakrishnan Venkatraman, Vipul Kadodwala Early clock prototyping for design analysis and quality entitlement. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Meng-Syue Chan, Chun-Yao Wang, Yung-Chih Chen An efficient approach to sip design integration. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Sreeranga P. Rajan, Oksana Tkachuk, Mukul R. Prasad, Indradeep Ghosh, Nitin Goel, Tadahiro Uehara WEAVE: WEb Applications Validation Environment. Search on Bibsonomy ICSE Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Jörg Henkel, Vijaykrishnan Narayanan, Sri Parameswaran, Roshan G. Ragel Security and Dependability of Embedded Systems: A Computer Architects' Perspective. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Goetz Botterweck, Iris Groher, Andreas Polzer, Christa Schwanninger, Steffen Thiel, Markus Völter 1st International Workshop on Model-driven Approaches in Software Product Line Engineering: (MAPLE 2009). Search on Bibsonomy SPLC The full citation details ... 2009 DBLP  BibTeX  RDF
4Daniel Pech, Jens Knodel, Ralf Carbon, Clemens Schitter, Dirk Hein Variability management in small development organizations: experiences and lessons learned from a case study. Search on Bibsonomy SPLC The full citation details ... 2009 DBLP  BibTeX  RDF software architecture, evolution, decision model, product line engineering, variability management
4Pradip A. Thaker Holistic verification: myth or magic bullet? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC verification, mixed-signal verification, power management verification, emulation
4Xuening Sun, Pierluigi Nuzzo 0002, Chang-Ching Wu, Alberto L. Sangiovanni-Vincentelli Contract-based system-level composition of analog circuits. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF integration, composition, contract, system, analog, UWB, platform, platform-based design, radio-frequency, assume-guarantee
4Andreas Raabe, Rastislav Bodík Synthesizing hardware from sketches. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sketching
4Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi Creating an affordable 22nm node using design-lithography co-optimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design technology co-optimization, templates, DFM, regular fabric
4Andreas G. Veneris, Sean Safarpour The day Sherlock Holmes decided to do EDA. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF error localization, verification, debugging
4May Yee Chong, Björn Bjurling, Ramide Dantas, Carlos Alberto Kamienski, Börje Ohlman Goal-Based Service Creation Using Autonomic Entities. Search on Bibsonomy MACE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Kurt Englmeier, Ricki Koinig Domain-Specific Deployment and Configuration Language for Composition and Adaptation of Coarse-Grained Services. Search on Bibsonomy IEEE SCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4David Sellier, Mike Mannion, Jason Xabier Mansell Managing requirements inter-dependency for software product line derivation. Search on Bibsonomy Requir. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Software product line, Requirement specifications, Domain engineering
4Juan Carlos Baraza, Joaquin Gracia, Sara Blanc, Daniel Gil, Pedro J. Gil Enhancement of Fault Injection Techniques Based on the Modification of VHDL Code. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Pei-Wen Luo, Jwu-E Chen, Chin-Long Wey, Liang-Chia Cheng, Ji-Jan Chen, Wen Ching Wu Impact of Capacitance Correlation on Yield Enhancement of Mixed-Signal/Analog Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Alexandro Baldassin, Paulo Centoducatte, Sandro Rigo, Daniel C. Casarotto, Luiz C. V. dos Santos, Max R. de O. Schultz, Olinto J. V. Furtado An open-source binary utility generator. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Platform debugging, retargetable tools, TLM
4Lan Cao, Balasubramaniam Ramesh Agile Requirements Engineering Practices: An Empirical Study. Search on Bibsonomy IEEE Softw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Jonas Elmqvist, Simin Nadjm-Tehrani Formal Support for Quantitative Analysis of Residual Risks in Safety-Critical Systems. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4N. Alaraje, Guy Hembroff Impact of NoFPGA IP router architecture on link bandwidth. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Paul Edward McKechnie, Nathan A. Lindop, Wim Vanderbauwhede A type system for static typing of a domain-specific language. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static type checking, FPGA, type system
4Kangtae Kim, Hyungrok Kim, Sundeok Kim, Gihun Chang A Case Study on SW Product Line Architecture Evaluation: Experience in the Consumer Electronics Domain. Search on Bibsonomy ICSEA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4E. Frank, Reinhard Wilhelm, Rolf Ernst, Alberto L. Sangiovanni-Vincentelli, Marco Di Natale Methods, Tools and Standards for the Analysis, Evaluation and Design of Modern Automotive Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4David Novo, Bruno Bougard, Andy Lambrechts, Liesbet Van der Perre, Francky Catthoor Scenario-Based Fixed-point Data Format Refinement to Enable Energy-scalable Software Defined Radios. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Ed Brinksma, Jozef Hooman Dependability for high-tech systems: an industry-as-laboratory approach. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Seon-Jae Jang, Hae-Geun Kim, Youn-Ky Chung Manual Specific Testing and Quality Evaluation for Embedded Software. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Bruno Bougard, Min Li 0001, David Novo, Liesbet Van der Perre, Francky Catthoor Bridging the energy gap in size, weight and power constrained software defined radio: Agile baseband processing as a key enabler. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Shinya Shimizu, Hideaki Kimata, Kazuto Kamikura, Yoshiyuki Yashima A backward compatible 3D scene coding using residual prediction. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Ricardo P. Jacobi, Reinaldo A. Bergamaschi Challenges of the nanoscale era. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF integrated circuits
4André V. Fidalgo, Gustavo R. Alves, Manuel G. Gericota, José Manuel Martins Ferreira A comparative analysis of fault injection methods via enhanced on-chip debug infrastructures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real time systems, dependability, fault injection
4Stefano Monti, Walter Nesci, Serino Angellotti, Claudio Schellino, Massimo Seminara, Rainer Wuesthenagen Configuration and Change Management of the Outcomes of an Automotive Engine Control Model Based Software Design Process. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Alain Pegatoquet, Filip Thoen, Denis Paterson Virtual Reality for 2.5 G Wireless Communication Modem Software Development. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF EGPRS, simulation, MPSoC, GSM, GPRS, Virtual platform
4Goetz Botterweck, Steffen Thiel, Ciarán Cawley, Daren Nestor, André Preußner Visual Configuration in Automotive Software Product Lines. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Product Deriviation, Software Product Lines, Visualisation, Automotive, Variability Management, Product Configuration
4David de Andrés, Juan-Carlos Ruiz-Garcia, Daniel Gil, Pedro J. Gil Dependability Assessment for the Selection of Embedded Cores. Search on Bibsonomy EDCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Jianjun Zhao, Jeffrey S. Poulin Appendix: Workshop and Tutorial Abstracts. Search on Bibsonomy ICSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Yi-Ting Lin, Chien-Chou Wang, Ing-Jer Huang AMBA AHB bus potocol checker with efficient debugging mechanism. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Jingjun Zhang, Xueyong Cai, Guangyuan Liu Mapping Features to Architectural Components in Aspect-Oriented Software Product Lines. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Marijn Janssen Exploring the Service-Oriented Enterprise: Drawing Lessons from a Case Study. Search on Bibsonomy HICSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Saurabh Jain, W. Robert Daasch, David Armbrust Analyzing the Impact of Fault Tolerant BIST for VLSI Design. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Antti Jääskeläinen, Antti Kervinen, Mika Katara Creating a Test Model Library for GUI Testing of Smartphone Applications (Short Paper). Search on Bibsonomy QSIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Charles Thangaraj, Tom Chen 0001 Early Design Phase Power Performance Trade-Offs Using In-Situ Macro Models. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pareto-front, What-if analysis, Power-performance trade-off
4Vinod Kathail, Tom Miller Architecture Exploration for Low Power Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Alex Talevski, Pornpit Wongthongtham, Surasak Komchaliaw Towards a software component ontology. Search on Bibsonomy iiWAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ontology, software engineering, software component
4Michael Bell Service-Oriented Life Cycle Modeling: The Shift from Web Services to Enterprise Services. Search on Bibsonomy EDOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Ganesh S. Dasika, Shidhartha Das, Kevin Fan, Scott A. Mahlke, David M. Bull DVFS in loop accelerators using BLADES. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded systems, low power, high-level synthesis, voltage scaling, frequency scaling
4Ruchir Puri, William H. Joyner, Shekhar Borkar, Ty Garibay, Jonathan Lotz, Robert K. Montoye Custom is from Venus and synthesis from Mars. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IC synthesis techniques, custom IC design, VLSI design
4Marco Di Natale Design and Development of Component-Based Embedded Systems for Automotive Applications. Search on Bibsonomy Ada-Europe The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Devesh Sharma, Aybüke Aurum, Barbara Paech Business Value through Product Line Engineering - A Case Study. Search on Bibsonomy EUROMICRO-SEAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Lars Frantzen, Maria de las Nieves Huerta, Zsolt Gere Kiss, Thomas Wallet On-The-Fly Model-Based Testing of Web Services with Jambition. Search on Bibsonomy WS-FM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Rob Kommeren, Päivi Parviainen Philips experiences in global distributed software development. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Philips, Globally distributed software, Software development
4Smruti R. Sarangi, Satish Narayanasamy, Bruce Carneal, Abhishek Tiwari 0002, Brad Calder, Josep Torrellas Patching Processor Design Errors with Programmable Hardware. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware errors, microarchitecture for fault-tolerance, design defects in real processors, processor errata analysis
4Todd J. Foster, Dennis L. Lastor, Padmaraj Singh First Silicon Functional Validation and Debug of Multicore Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Renate Fruchter, Subashri Swaminathan, Manjunath Boraiah, Chhavi Upadhyay Reflection in interaction. Search on Bibsonomy AI Soc. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Srinivasan Murali, Luca Benini, Giovanni De Micheli An Application-Specific Design Methodology for On-Chip Crossbar Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Sudeep Pasricha, Nikil D. Dutt A Framework for Cosynthesis of Memory and Communication Architectures for MPSoC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Ilya Wagner, Valeria Bertacco, Todd M. Austin Microprocessor Verification via Feedback-Adjusted Markov Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Chris Seeling, Greg Watson, Kaiwei Sun GPU-Based Interactive, Stereoscopic Visualization of Automotive Crash Simulations. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stereoscopic visualization, crash simulations, automotive industry
4Flávio Rech Wagner, Wander O. Cesário, Ahmed Amine Jerraya Hardware/software IP integration using the ROSES design environment. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF IP integration, Systems-on-chip
Displaying result #401 - #500 of 847 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license