The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for unrolling with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1993 (15) 1994-1996 (15) 1997-1998 (16) 1999-2000 (28) 2001-2002 (22) 2003 (17) 2004 (25) 2005 (22) 2006 (18) 2007 (25) 2008 (19) 2009 (18) 2010-2012 (18) 2013-2014 (16) 2015-2017 (17) 2018-2019 (31) 2020 (20) 2021 (31) 2022 (48) 2023 (56) 2024 (12)
Publication types (Num. hits)
article(173) incollection(1) inproceedings(315)
Venues (Conferences, Journals, ...)
CoRR(64) ICASSP(12) ICS(11) MICRO(9) PLDI(9) CC(8) DATE(8) LCPC(8) ICIP(7) IPDPS(7) CVPR(6) EUSIPCO(6) FPL(6) DAC(5) FCCM(5) IEEE Access(5) More (+10 of total 235)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 294 occurrences of 208 keywords

Results
Found 489 publication records. Showing 489 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Jongmyon Kim, D. Scott Wills, Linda M. Wills Architectural Enhancements for Color Image and Video Processing on Embedded Systems. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chia-Hsien Liu, Tay-Jyi Lin, Chie-Min Chao, Pi-Chen Hsiao, Li-Chun Lin, Shin-Kai Chen, Chao-Wei Huang, Chih-Wei Liu, Chein-Wei Jen Hierarchical instruction encoding for VLIW digital signal processors. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Juho Lahti, Jari K. Juntunen, Olli Lehtoranta, Timo D. Hämäläinen Algorithmic optimization of H.264/AVC encoder. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Paris Kitsos, Michalis D. Galanis, Odysseas G. Koufopavlou A RAM-based FPGA implementation of the 64-bit MISTY1 block cipher. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Woongki Baek, Jihong Kim 0001 Load-store reordering for low-power multimedia data transfers. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sumio Morioka, Akashi Satoh A 10-Gbps full-AES crypto design with a twisted BDD S-Box architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sumit Gupta, Rajesh K. Gupta 0001, Nikil D. Dutt, Alexandru Nicolau Coordinated parallelizing compiler optimizations and high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic CSE, parallelizing transformations, presynthesis, embedded systems, high-level synthesis, Code motions, common subexpression elimination
12Krishnan Srinivasan, Nagender Telkar, Vijay Ramamurthi, Karam S. Chatha System-Level Design Techniques for Throughput and Power Optimization of Multiprocessor SoC Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alireza Hodjat, Ingrid Verbauwhede Minimum Area Cost for a 30 to 70 Gbits/s AES Processor. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sumit Gupta, Nikil D. Dutt, Rajesh Gupta 0001, Alexandru Nicolau Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Huibin Shi, Chris Bailey 0002 Investigating Available Instruction Level Parallelism for Stack Based Machine Architectures. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Peter Sanders 0001, Sebastian Winkel Super Scalar Sample Sort. Search on Bibsonomy ESA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael Wolfe Supercompilers, the AMD Opteron, and Your Cell Phone. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yosi Ben-Asher, Daniel Citron, Gadi Haber Overlapping Memory Operations with Circuit Evaluation in Reconfigurable Computing. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alireza Hodjat, Ingrid Verbauwhede A 21.54 Gbits/s Fully Pipelined AES Processor on FPGA. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12David Zaretsky, Gaurav Mittal, Xiaoyong Tang, Prithviraj Banerjee Overview of the FREEDOM Compiler for Mapping DSP Software to FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai A cost-driven compilation framework for speculative parallelization of sequential programs. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization
12Daijue Tang, Yinlei Yu, Darsh Ranjan, Sharad Malik Analysis of Search Based Algorithms for Satisfiability of Propositional and Quantified Boolean Formulas Arising from Circuit State Space Diameter Problems. Search on Bibsonomy SAT (Selected Papers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Takahiro Katagiri, Kenji Kise, Hiroki Honda, Toshitsugu Yuba Effect of auto-tuning with user's knowledge for numerical software. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF eigensolver, performance modeling, parameter optimization, auto-tuning, numerical library
12Qingda Lu, Xiaoyang Gao, Sriram Krishnamoorthy, Gerald Baumgartner, J. Ramanujam, P. Sadayappan Empirical Performance-Model Driven Data Layout Optimization. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Roar Lien, Tim Grembowski, Kris Gaj A 1 Gbit/s Partially Unrolled Architecture of Hash Functions SHA-1 and SHA-512. Search on Bibsonomy CT-RSA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Krishnan Srinivasan, Karam S. Chatha An ILP Formulation for System Level Throughput and Power Optimization in Multiprocessor SoC Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12François-Xavier Standaert, Siddika Berna Örs, Bart Preneel Power Analysis of an FPGA: Implementation of Rijndael: Is Pipelining a DPA Countermeasure? Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Surendra Byna, Xian-He Sun, William Gropp, Rajeev Thakur Predicting memory-access cost based on data-access patterns. Search on Bibsonomy CLUSTER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Peter M. W. Knijnenburg, Toru Kisuki, Michael F. P. O'Boyle Combined Selection of Tile Sizes and Unroll Factors Using Iterative Compilation. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF program transformation, instruction level parallelism, program optimization, locality optimization, adaptive compilation
12Min Zhao 0009, Bruce R. Childers, Mary Lou Soffa Predicting the impact of optimizations for embedded systems. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code models, embedded systems, prediction, optimizing compilers, loop optimizations, resource models, optimization models
12Gregorio Bernabé, José M. García 0001, José González 0002 Reducing 3D Wavelet Transform Execution Time through the Streaming SIMD Extensions. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Raphaël Montelatici Polarized Proof Nets with Cycles and Fixpoints Semantics. Search on Bibsonomy TLCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12John S. Seng, Dean M. Tullsen The Effect of Compiler Optimizations on Pentium 4 Power Consumption. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jirong Liao, Weng-Fai Wong, Tulika Mitra A Model for Hardware Realization of Kernel Loops. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Roni Rosner, Micha Moffie, Yiannakis Sazeides, Ronny Ronen Selecting long atomic traces for high coverage. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF trace atomicity, trace processors, trace selection, trace cache
12Takahiro Katagiri, Kenji Kise, Hiroaki Honda, Toshitsugu Yuba FIBER: A Generalized Framework for Auto-tuning Software. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Numerical library Eigensolver, Eigensolver, Performance modeling, Parameter optimization, Auto-Tuning
12Jia Guo, María Jesús Garzarán, David A. Padua The Power of Belady?s Algorithm in Register Allocation for Long Basic Blocks. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Pavel Tvrdík, Ivan Simecek Analytical Modeling of Optimized Sparse Linear Code. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Marta Jiménez, José M. Llabería, Agustín Fernández Register tiling in nonrectangular iteration spaces. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF register level, locality, Data reuse, loop optimization, loop tiling
12Yi Qian, Steve Carr 0001, Philip H. Sweany Loop fusion for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, loop fusion
12Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Effective instruction scheduling techniques for an interleaved cache clustered VLIW processor. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Bruno Blanchet, Patrick Cousot, Radhia Cousot, Jérôme Feret, Laurent Mauborgne, Antoine Miné, David Monniaux, Xavier Rival Design and Implementation of a Special-Purpose Static Program Analyzer for Safety-Critical Real-Time Embedded Software. Search on Bibsonomy The Essence of Computation The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Beniamino Di Martino, Nicola Mazzocca, Giacinto Paolo Saggese, Antonio G. M. Strollo A Technique for FPGA Synthesis Driven by Automatic Source Code Analysis and Transformations. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Heidi E. Ziegler, Byoungro So, Mary W. Hall, Pedro C. Diniz Coarse-Grain Pipelining on Multiple FPGA Architectures. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coarse-grain Pipelining, FPGA-based Custom Computing Machines, Parallelizing Compiler Analysis Techniques
12Hisayasu Kuroda, Takahiro Katagiri, Yasumasa Kanada Knowledge Discovery in Auto-tuning Parallel Numerical Library. Search on Bibsonomy Progress in Discovery Science The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Farzan Fallah Binary time-frame expansion. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Mário P. Véstias, Horácio C. Neto System-Level Co-Synthesis of Dataflow Dominated Applications on Reconfigurable Hardware/Software Architectures. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Xiaohua Hu 0001 Comparison of Classification Methods for Customer Attrition Analysis. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Haifeng Zhou, Zhenghui Lin, Wei Cao Research on VHDL RTL Synthesis System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VHDL RTL synthesis, ambiguous grammar, language level optimization, inference, formal semantics, parser
12Rajeev Barua, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Compiler Support for Scalable and Efficient Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bank disambiguation, memory parallelism, Raw, Compiler, Maps, memory
12Matthew C. Merten, Andrew R. Trick, Ronald D. Barnes, Erik M. Nystrom, Christopher N. George, John C. Gyllenhaal, Wen-mei W. Hwu An Architectural Framework for Runtime Optimization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Postlink optimization, hardware profiling, low-overhead profiling, program hot spot, partial function inlining, trace formation and optimization, dynamic optimization, runtime optimization, code layout
12Gautam Doshi, Rakesh Krishnaiyer, Kalyan Muthukumar Optimizing Software Data Prefetches with Rotating Registers. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Karam S. Chatha, Ranga Vemuri MAGELLAN: multiway hardware-software partitioning and scheduling for latency minimization of hierarchical control-dataflow task graphs. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ying Zhao, Sharad Malik Exact memory size estimation for array computations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Jian Huang, David J. Lilja Extending Value Reuse to Basic Blocks with Compiler Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Block history buffer, block reuse, compiler flow analysis, value reuse, value locality
12Miodrag Potkonjak, Jan M. Rabaey Maximally and arbitrarily fast implementation of linear andfeedback linear computations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Brian Grant, Markus Mock, Matthai Philipose, Craig Chambers, Susan J. Eggers The benefits and costs of DyC's run-time optimizations. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF specialization, dynamic compilation
12Toru Kisuki, Peter M. W. Knijnenburg, Michael F. P. O'Boyle Combined Selection of Tile Sizes and Unroll Factors Using Iterative Compilation. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Gang-Ryung Uh, Yuhong Wang, David B. Whalley, Sanjay Jinturkar, Chris Burns, Vincent Cao Techniques for Effectively Exploiting a Zero Overhead Loop Buffer. Search on Bibsonomy CC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Dexter Kozen, Maria-Christina Patron Certification of Compiler Optimizations Using Kleene Algebra with Tests. Search on Bibsonomy Computational Logic The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-based register coalescing. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12G. Esakkimuthu, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Memory system energy (poster session): influence of hardware-software optimizations. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Dirk W. Hoffmann, Thomas Kropf Efficient Design Error Correction of Digital Circuits. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Michael Voss, Rudolf Eigenmann ADAPT: Automated De-Coupled Adaptive Program Transformation. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Howard Cheng, Eugene V. Zima On accelerated methods to evaluate sums of products of rational numbers. Search on Bibsonomy ISSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF binary splitting, chains of recurrences, representation of integers
12Ganesh Lakshminarayana, Kamal S. Khouri, Niraj K. Jha Wavesched: a novel scheduling technique for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Gang-Ryung Uh, Yuhong Wang, David B. Whalley, Sanjay Jinturkar, Chris Burns, Vincent Cao Effective Exploitation of a Zero Overhead Loop Buffer. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Derek L. Howard, Mikko H. Lipasti The Effect of Program Optimization on Trace Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler optimization, Microarchitecture, superscalar processors, trace cache
12Pranav Ashar, Anand Raghunathan, Aarti Gupta, Subhrajit Bhattacharya Verification of Scheduling in the Presence of Loops Using Uninterpreted Symbolic Simulation. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Han-Saem Yun Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rajeev Barua, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Maps: A Compiler-Managed Memory System for Raw Machines. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jens-Peter Kaps, Christof Paar Fast DES Implementation for FPGAs and Its Application to a Universal Key-Search Machine. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Kamal S. Khouri, Ganesh Lakshminarayana, Niraj K. Jha IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multiplexer re-structuring, low power, high-level synthesis, resource sharing, control-flow, module selection
12Volker Braun, Jens Knoop, Dirk Koschützki Cool: A Control-Flow Generator for System Analysis. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12David J. Kolson, Alexandru Nicolau, Nikil D. Dutt Copy Elimination for Parallelizing Compilers. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Mihnea Marinescu, Benjamin Goldberg Partial-Evaluation Techniques for Concurrent Programs. Search on Bibsonomy PEPM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF concurrency, CSP, CSP, partial evaluation, nondeterminism, binding-time analysis
12Steve Carr 0001, Yiping Guan Unroll-and-Jam Using Uniformly Generated Sets. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Loop Optimization
12Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin A Simulation Methodology for Software Energy Evaluation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12M. Anton Ertl, Andreas Krall Removing Anti Dependences by Repairing. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF anti dependence, instruction-level parallelism, speculative execution, repairing, register renaming
12Marta Jiménez, José M. Llabería, Agustín Fernández, Enric Morancho A Unified Transformation Technique for Multilevel Blocking. Search on Bibsonomy Euro-Par, Vol. I The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12John D. McCalpin, Mark Smotherman Automatic benchmark generation for cache optimization of matrix operations. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Jack L. Lo, Susan J. Eggers Improving Balanced Scheduling with Compiler Optimizations that Increase Instruction-Level Parallelism. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Tan-Li Chou, Kaushik Roy 0001 Estimation of sequential circuit activity considering spatial and temporal correlations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential circuit activity estimation, signal activity, internal nodes, sequential logic circuits, logic signals, ESTG, extended state transition graph, exact signal probabilities, large circuits, state logic, logic simulation results, graph theory, finite state machines, finite state machine, sequential circuits, spatial correlations, circuit switching, switching activities, approximate method, temporal correlations
12Michel J. Daydé, Iain S. Duff, Antoine Petitet A parallel block implementation of Level-3 BLAS for MIMD vector processors. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF matrix-matrix kernels, parallelization, vectorization, Level-3 BLAS
12Stefan M. Freudenberger, Thomas R. Gross, P. Geoffrey Lowney Avoidance and Suppression of Compensation Code in a Trace Scheduling Compiler. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF SPEC89, performance evaluation, instruction-level parallelism, trace scheduling
12Stephen Weeks, Matthias Felleisen On the Orthogonality of Assignments and Procedures in Algol. Search on Bibsonomy POPL The full citation details ... 1993 DBLP  DOI  BibTeX  RDF ALGOL-60, ALGOL
12Evelyn Duesterwald, Rajiv Gupta 0001, Mary Lou Soffa A Practical Data Flow Framework for Array Reference Analysis and its Use in Optimizations. Search on Bibsonomy PLDI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Laurie J. Hendren, Guang R. Gao Designing programming languages for analyzability: a fresh look at pointer data structures. Search on Bibsonomy ICCL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Toshio Nakatani, Kemal Ebcioglu "Combining" as a compilation technique for VLIW architectures. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12François Bodin, François Charot, Charles Wagner Overview of a high-performance programmable pipeline structure. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12James C. Dehnert, Peter Y.-T. Hsu, Joseph P. Bratt Overlapped Loop Support in the Cydra 5. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Cydra 5
12Jeanne Ferrante, Karl J. Ottenstein, Joe D. Warren The Program Dependence Graph and Its Use in Optimization. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12James A. Davis A functional language architecture that supports fine-grain parallelism (extended abstract). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #489 of 489 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license