The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Almudena Lindoso, Luis Entrena, Juan Izquierdo, Judith Liu-Jimenez Coarse-grain dynamically reconfigurable coprocessor for image processing in SOPC. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Steffen Köhler, Jan Schirok, Jens Braunes, Rainer G. Spallek Efficiency of Dynamic Reconfigurable Datapath Extensions -- A Case Study. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Stephen Wright Using EventB to Create a Virtual Machine Instruction Set Architecture. Search on Bibsonomy ABZ The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ilya Wagner, Valeria Bertacco Reversi: Post-silicon validation system for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ke Pei, Gang Zhang, Fujiang Li Design of Boot Loader with Multiple Communication Port. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Dwayne Lee OpenSPARC - A Scalable Chip Multi-Threading Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sachin S. Sapatnekar, Eshel Haritan, Kurt Keutzer, Anirudh Devgan, Desmond Kirkpatrick, Stephen Meier, Duaine Pryor, Tom Spyrou Reinventing EDA with manycore processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, CAD, software, multicore, EDA, speedup, manycore
12Sanjay V. Kumar, Chandramouli V. Kashyap, Sachin S. Sapatnekar A framework for block-based timing sensitivity analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pruning, variations, reordering, slacks, arrival times
12Jason D. Lee, Praveen Bhojwani, Rabi N. Mahapatra A Safety Analysis Framework for COTS Microprocessors in Safety-Critical Applications. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Proshanta Saha, Tarek A. El-Ghazawi A Methodology for Automating Co-Scheduling for Reconfigurable Computing Systems. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Tim Güneysu, Christof Paar, Sven Schäge Efficient Hash Collision Search Strategies on Special-Purpose Hardware. Search on Bibsonomy WEWoRC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Crypto Attacks, Hash functions, Special-purpose Hardware
12Foad Dabiri, Ani Nahapetian, Miodrag Potkonjak, Majid Sarrafzadeh Soft Error-Aware Power Optimization Using Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Shih-Lien Lu, Peter Yiannacouras, Rolf Kassa, Michael Konow, Taeweon Suh An FPGA-based Pentium in a complete desktop system. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF pentium®, FPGA, emulator, accelerator, processor
12David Sheldon, Frank Vahid, Stefano Lonardi Interactive presentation: Soft-core processor customization using the design of experiments paradigm. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Kypros Constantinides, Onur Mutlu, Todd M. Austin, Valeria Bertacco Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chang-Burm Cho, Wangyuan Zhang, Tao Li 0006 Informed Microarchitecture Design Space Exploration Using Workload Dynamics. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yale N. Patt The Transformation Hierarchy in the Era of Multi-core. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jeremy S. Meredith, Sadaf R. Alam, Jeffrey S. Vetter Analysis of a Computational Biology Simulation Technique on Emerging Processing Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Proshanta Saha, Tarek A. El-Ghazawi Software/Hardware Co-Scheduling for Reconfigurable Computing Systems. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Wolfgang Puffitsch, Martin Schoeberl picoJava-II in an FPGA. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, Java processor
12Kris Tiri, Onur Aciiçmez, Michael Neve, Flemming Andersen An Analytical Model for Time-Driven Cache Attacks. Search on Bibsonomy FSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12David E. Duarte, Greg Taylor, Keng L. Wong, Usman Mughal, George L. Geannopoulos Advanced thermal sensing circuit and test techniques used in a high performance 65nm processor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor calibration error, temperature sensing, thermal management, analog design
12Steven Eno, Lauren Mace, Jianyi Liu, Brian Benson, Kailash Raman, Kiju Lee, Matthew Moses, Gregory S. Chirikjian Robotic Self-Replication in a Structured Environment without Computer Control. Search on Bibsonomy CIRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Mini Nanua, David T. Blaauw Investigating Crosstalk in Sub-Threshold Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Cecilia Metra, Martin Omaña 0001, T. M. Mak, Simon Tam 0001 Novel Approach to Clock Fault Testing for High Performance Microprocessors. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Neal A. Harman Algebraic Models of Simultaneous Multithreaded and Multi-core Processors. Search on Bibsonomy CALCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF many-sorted algebra, verification, microprocessors, correctness, threaded
12Kristen R. Walcott, Greg Humphreys, Sudhanva Gurumurthi Dynamic prediction of architectural vulnerability from microarchitectural state. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architecture vulnerability factor, redundant multithreading, performance, reliability, microarchitecture
12Steven M. Burns, Mahesh Ketkar, Noel Menezes, Keith A. Bowman, James W. Tschanz, Vivek De Comparative Analysis of Conventional and Statistical Design Techniques. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Haldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda Impact of stress-induced backflow on full-chip electromigration risk assessment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John Effective management of multiple configurable units using dynamic optimization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Adaptive computing environment (ACE), dynamic optimization, power dissipation, hotspots
12Toshinori Sato, Yuu Tanaka, Hidenori Sato, Toshimasa Funaki, Takenori Koushiro, Akihiro Chiyonobu Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Zaher S. Andraus, Mark H. Liffiton, Karem A. Sakallah Refinement strategies for verification methods based on datapath abstraction. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kieron Turkington, Konstantinos Masselos, George A. Constantinides, Philip Heng Wai Leong FPGA Based Acceleration of the Linpack Benchmark: A High Level Code Transformation Approach. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jason D. Bakos, Charles L. Cathey, Allen Michalski Predictive Load Balancing for Interconnected FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Adam J. Elbirt, Christof Paar Efficient Implementation of Galois Field Fixed Field Constant Multiplication. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded systems, cryptography, block cipher, galois field
12Panagiotis Manolios Refinement and Theorem Proving. Search on Bibsonomy SFM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Davy Genbrugge, Lieven Eeckhout, Koen De Bosschere Accurate memory data flow modeling in statistical simulation. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory data flow modeling, performance modeling, statistical simulation
12Grigorios Magklis, Pedro Chaparro, José González 0002, Antonio González 0001 Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MCD, energy efficiency, DVS, microarchitecture, GALS
12Tanay Karnik, Peter Hazucha, Gerhard Schrom, Fabrice Paillet, Donald S. Gardner High-frequency DC-DC conversion : fact or fiction. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Paul T. Myrda, Eric A. Udren System-Wide Replacement Strategy for Substation Protection and Automation Systems. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12André V. Fidalgo, Gustavo R. Alves, José M. Ferreira 0001 Real Time Fault Injection Using Enhanced OCD -- A Performance Analysis. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Chester Rebeiro, A. David Selvakumar, A. S. L. Devi Bitslice Implementation of AES. Search on Bibsonomy CANS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Geir Olav Dyrkolbotn, Einar Snekkenes A Wireless Covert Channel on Smart Cards (Short Paper). Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EMSide-Channel, Wireless Covert Channel, Smart Cards, Subversion
12Rajarshi Mukherjee, Seda Ogrenci Memik Systematic temperature sensor allocation and placement for microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor, placement, allocation, temperature
12Vladimir Stojanovic, R. Iris Bahar, Jennifer Dworak, Richard Weiss 0001 A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction queue, reliability, error correcting codes
12Alexandros C. Dimopoulos, Christos Pavlatos, Ioannis Panagopoulos, George K. Papakonstantinou An Efficient Hardware Implementation for AI Applications. Search on Bibsonomy SETN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Dependability evaluation of a fault-tolerant processor by GSPN modeling. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Y. Abulafia, Avner Kornfeld Estimation of FMAX and ISB in microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Giovanni Squillero MicroGP-An Evolutionary Assembly Program Generator. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro-processors, assembly programs generation, evolutionary algorithms
12Shlomi Dolev, Yinnon A. Haviv, Mooly Sagiv Self-stabilization Preserving Compiler. Search on Bibsonomy Self-Stabilizing Systems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yue Li, Tao Li, Tamer Kahveci, José A. B. Fortes Workload Characterization of Bioinformatics Applications. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Pradeep Nalabalapu, Ron Sass Bandwidth Management with a Reconfigurable Data Cache. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Francesco Lertora, Michele Borgatti Handling Different Computational Granularity by a Reconfigurable IC Featuring Embedded FPGAs and a Network-on-Chip. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12P. C. Kwan, C. T. Clarke FPGAs for Improved Energy Efficiency in Processor Based Systems. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hans Eberle, Arvinderpal Wander, Nils Gura, Sheueling Chang Shantz, Vipul Gupta Architectural Extensions for Elliptic Curve Cryptography over GF(2m) on 8-bit Microprocessors. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero On the Transformation of Manufacturing Test Sets into On-Line Test Sets for Microprocessors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12K. Uday Bhaskar, M. Prasanth, G. Chandramouli, V. Kamakoti 0001 A Universal Random Test Generator for Functional Verification of Microprocessors and System-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ilya Wagner, Valeria Bertacco, Todd M. Austin StressTest: an automatic approach to test generation via activity monitors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF directed-random simulation, architectural simulation, high-performance simulation
12Don Edenfeld, Andrew B. Kahng, Mike Rodgers, Yervant Zorian 2003 Technology Roadmap for Semiconductors. Search on Bibsonomy Computer The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Victor V. Zyuban, David M. Brooks, Viji Srinivasan, Michael Gschwind, Pradip Bose, Philip N. Strenski, Philip G. Emma Integrated Analysis of Power and Performance for Pipelined Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Greg Stitt, Frank Vahid, Shawn Nematbakhsh Energy savings and speedups from partitioning critical software loops to hardware in embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, embedded systems, synthesis, platforms, speedup, low energy, Hardware/software partitioning
12Arijit Ghosh, Tony Givargis Cache optimization for embedded processor cores: An analytical approach. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design space exploration, system-on-a-chip, Cache optimization, core-based design
12Carl Scafidi, J. Douglas Gibson, Rohit Bhatia Validating the Itanium 2 Exception Control Unit: A Unit-Level Approach. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Vladimír Oplustil, L. Gáspár, D. Svacina, Stefan Szabó COTS (Commercial Off The Shelf) Distributed System for Critical Application. Search on Bibsonomy ECBS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Roland E. Wunderlich, James C. Hoe In-system FPGA prototyping of an itanium microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ian Kuon, Navid Azizi, Ahmad Darabiha, Aaron Egier, Paul Chow FPGA-based supercomputing: an implementation for molecular dynamics. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt Automatic Tuning of Two-Level Caches to Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache exploration, embedded systems, low power, low energy, cache optimization, architecture tuning, cache hierarchy, Configurable cache
12Ma Sasa, Zhao Shouwei, Xiao Xiaofeng General automatic test system for PCB of military equipment. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Haihua Shen, Yunji Chen, Jing Huang EmGen: An Automatic Test-Program Generation Tool for Embedded IP Cores. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Lieven Eeckhout Efficient architectural design of high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Timothy J. Dysart, Branden J. Moore, Lambert Schaelicke, Peter M. Kogge Cache implications of aggressively pipelined high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Emanuele Lattanzi, Aman Gayasen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Luca Benini, Alessandro Bogliolo Improving Java Performance Using Dynamic Method Migration on FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Navid Azizi, Ian Kuon, Aaron Egier, Ahmad Darabiha, Paul Chow Reconfigurable Molecular Dynamics Simulator. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ali El-Haj-Mahmoud, Eric Rotenberg Safely exploiting multithreaded processors to tolerate memory latency in real-time systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, multithreading, worst-case execution time, memory latency, schedulability test
12Valentina Salapura, Christos J. Georgiou, Indira Nair An efficient system-on-a-chip design methodology for networking applications. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF network processor, system-on-a-chip
12Bryan Black, Donald Nelson, Clair Webb, Nick Samra 3D Processing Technology and Its Impact on iA32 Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Erik DeBenedictis Will Moore's Law Be Sufficient? Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xizhi Li, Tiecai Li ECOMIPS: An Economic MIPS CPU Design on FPGA. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mohamed M. Zahran On cache memory hierarchy for Chip-Multiprocessor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. A New On-Line Robust Approach to Design Noise-Immune Speech Recognition Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF speech-recognition systems (SRS), digital signal processing (DSP), on-line testing, performance degradation, noise immunity, area overhead, recovery blocks
12Paul H. Dietz, William S. Yerazunis, Darren Leigh Very Low-Cost Sensing and Communication Using Bidirectional LEDs. Search on Bibsonomy UbiComp The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12James C. Dehnert, Brian Grant, John P. Banning, Richard Johnson, Thomas Kistler, Alexander Klaiber, Jim Mattson The Transmeta Code Morphing - Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12James C. Dehnert The Transmeta Crusoe: VLIW Embedded in CISC. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arnaldo Azevedo, Rodrigo Soares, Ivan Saraiva Silva A New Hybrid Parallel/Reconfigurable Architecture: The X4CP32. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Fulvio Corno, F. Cumani, Giovanni Squillero Exploiting Auto-adaptive 7GP for Highly Effective Test Programs Generation. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Tillmann Schmitz, Steffen G. Hohmann, Karlheinz Meier, Johannes Schemmel, Felix Schürmann Speeding up Hardware Evolution: A Coprocessor for Evolutionary Algorithms. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arijit Ghosh, Tony Givargis Cache Optimization For Embedded Processor Cores: An Analytical Approach. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Design Space Exploration, System-on-a-Chip, Cache Optimization, Core-Based Design
12Andrès Márquez, Guang R. Gao CARE: Overview of an Adaptive Multithreaded Architecture. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Cecilia Metra, T. M. Mak, Daniele Rossi 0001 Clock Calibration Faults and their Impact on Quality of High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rodrigo Soares, Arnaldo Azevedo, Ivan Saraiva Silva X4CP32: A New Parallel/Reconfigurable General-Purpose Processor. Search on Bibsonomy SBAC-PAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rahul Bhatt, Dave LaFollette, Arjun Kapur The Fallacy of Spec-Based Design. Search on Bibsonomy SEFM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ing-Jer Huang, Chung-Fu Kao, Hsin-Ming Chen, Ching-Nan Juan, Tai-An Lu A Retargetable Embedded In-Circuit Emulation Module for Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Toshinori Sato, Itsujiro Arita Reducing Energy Consumption via Low-Cost Value Prediction. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Ricky W. Butler Formal Methods at NASA Langley. Search on Bibsonomy TPHOLs The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Daranee Hormdee, Jim D. Garside, Stephen B. Furber An Asynchronous Victim Cache. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF copy-back cache architecture, asynchronous design, victim cache
12Stefan Szabó, Vladimír Oplustil Distributed CAN based control system for robotic and airborne applications. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. Experimental Results of a Recovery Block Scheme to Handle Noise in Speech Recognition Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speech-Recognition Systems (SRS), Recovery Blocks Scheme, Digital Signal Processing (DSP), On-Line Testing, Performance Degradation, Noise Immunity
12Steffen Köhler, Jens Braunes, Sergej Sawitzki, Rainer G. Spallek Improving Code Efficiency for Reconfigurable VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 4097 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license