The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ATS"( http://dblp.L3S.de/Venues/ATS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ats

Publication years (Num. hits)
2006 (73) 2007 (101) 2008 (79) 2014 (60) 2015 (38) 2016 (62) 2017 (45) 2018 (37) 2019 (29) 2020 (41) 2021 (26) 2022 (29) 2023 (45)
Publication types (Num. hits)
inproceedings(652) proceedings(13)
Venues (Conferences, Journals, ...)
ATS(665)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jenny Fan, Xiao-Yu Li, Ismed Hartanto Using FPGA configuration memory to accelerate yield learning for advanced process. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sunghoon Chun, YongJoon Kim, Sungho Kang 0001 High-MDSI: A High-level Signal Integrity Fault Test Pattern Generation Method for Interconnects. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Meng-Fan Wu, Kai-Shun Hu, Jiun-Lang Huang An Efficient Peak Power Reduction Technique for Scan Testing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hsiang-Huang Wu, Jin-Fu Li 0001, Chi-Feng Wu, Cheng-Wen Wu CAMEL: An Efficient Fault Simulator with Coupling Fault Simulation Enhancement for CAMs. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Brion L. Keller, Anis Uzzaman, Bibo Li, Thomas J. Snethen Using Programmable On-Product Clock Generation (OPCG) for Delay Test. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Xiaoqing Yang, Jinian Bian, Shujun Deng, Yanni Zhao EHSAT Modeling from Algorithm Description for RTL Model Checking. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yoshinobu Higami, Kewal K. Saluja, Hiroshi Takahashi, Shin-ya Kobayashi, Yuzo Takamatsu Test Generation for Transistor Shorts using Stuck-at Fault Simulator and Test Generator. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty, Hideo Fujiwara Thermal-Safe Test Access Mechanism and Wrapper Co-optimization for System-on-Chip. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Shawn Molavi, Toby McPheeters Concurrent Test Implementations. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hung-Kai Chen 0001, Chauchin Su A Test and Diagnosis Methodology for RF Transceivers. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jian Kang, Sharad C. Seth, Shashank K. Mehta Symbolic Path Sensitization Analysis and Applications. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tong-Yu Hsieh, Kuen-Jong Lee, Jian-Jhih You Test Efficiency Analysis and Improvement of SOC Test Platforms. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Nilanjan Mukherjee 0001, Wu-Tung Cheng, Greg Aldrich A RTL Testability Analyzer Based on Logical Virtual Prototyping. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yu Wei P'ng, Moo Kit Lee, Peng Weng Ng, Chin Hu Ong IDDQ Test Challenges in Nanotechnologies: A Manufacturing Test Strategy. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yuki Yoshikawa, Satoshi Ohtake, Hideo Fujiwara False Path Identification using RTL Information and Its Application to Over-testing Reduction for Delay Faults. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1 16th Asian Test Symposium, ATS 2007, Beijing, China, October 8-11, 2007 Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Xiaoxin Fan, Yu Hu 0001, Laung-Terng Wang An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Minjin Zhang, Xiaowei Li 0001 Test Generation for Crosstalk Glitches Considering Multiple Coupling Effects. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1David Bement, David Karr Bluetooth Hopping BER Testing Methodologies on a Production Test Platform. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Bo-Hua Chen, Wei-Chung Kao, Bing-Chuan Bai, Shyue-Tsong Shen, James C.-M. Li Response Inversion Scan Cell (RISC): A Peak Capture Power Reduction Technique. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Dongwoo Hong, Kwang-Ting Cheng An Accurate Jitter Estimation Technique for Efficient High Speed I/O Testing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Piet Engelke, Bettina Braitling, Ilia Polian, Michel Renovell, Bernd Becker 0001 SUPERB: Simulator Utilizing Parallel Evaluation of Resistive Bridges. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Friedrich Taenzler Production Test of High Volume Commercial RFIC. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jaehoon Song, Juhee Han, Dooyoung Kim, Hyunbean Yi, Sungju Park Design Reuse of on/off-Chip Bus Bridge for Efficient Test Access to AMBA-based SoC. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Kary Chien Invited Talk 3: Foundry Full-Scale Reliability Testing Capability Setup for Advanced Technology. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Huaxing Tang, Chen Liu, Wu-Tung Cheng, Sudahkar M. Reddy, Wei Zou Improving Performance of Effect-Cause Diagnosis with Minimal Memory Overhead. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Elham K. Moghaddam, Shaahin Hessabi An On-Line BIST Technique for Delay Fault Detection in CMOS Circuits. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Monalisa Sarma, Rajib Mall System Testing using UML Models. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Shaohua Lei, Yinhe Han 0001, Xiaowei Li 0001 Frequency Analysis Method for Propagation of Transient Errors in Combinational Logic. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Aiman H. El-Maleh, Mustafa Imran Ali, Ahmad A. Al-Yamani A Reconfigurable Broadcast Scan Compression Scheme Using Relaxation Based Test Vector Decompos. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tomokazu Yoneda, Yuusuke Fukuda, Hideo Fujiwara Test Scheduling for Memory Cores with Built-In Self-Repair. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Magali Bastian, Vincent Gouin, Patrick Girard 0001, Christian Landrault, Alexandre Ney, Serge Pravossoudovitch, Arnaud Virazel Influence of Threshold Voltage Deviations on 90nm SRAM Core-Cell Behavior. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Enhanced Broadside Testing for Improved Transition Fault Coverage. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Xijiang Lin, Mark Kassab, Janusz Rajski Test Generation for Timing-Critical Transition Faults. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ruifeng Guo, Yu Huang 0005, Wu-Tung Cheng Fault Dictionary Based Scan Chain Failure Diagnosis. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Fidel Muradali, Jochen Rivoir Special Session: Analog Production Test. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mahshid Sedghi, Armin Alaghi, Elnaz Koopahi, Zainalabedin Navabi An HDL-Based Platform for High Level NoC Switch Testing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Deng Yue Understanding GSM/EDGE Modulated Signal Test on Cellular BB SOC. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1T. W. Williams Invited Talk 2: EDA to the Rescue of the Silicon Roadmap. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Wataru Kawamura, Takeshi Onodera Experimental Results of Transition Fault Simulation with DC Scan Tests. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Majid Nabi, Hamid Shojaei, Siamak Mohammadi, Zainalabedin Navabi Optimized Assignment Coverage Computation in Formal Verification of Digital Systems. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ramashis Das, John P. Hayes Monitoring Transient Errors in Sequential Circuits. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Stefan Spinner, Jie Jiang 0018, Ilia Polian, Piet Engelke, Bernd Becker 0001 Simulating Open-Via Defects. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1T. M. Mak Invited Talk 1: Testing of Power Constraint Computing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Masaki Hashizume, Yutaka Hata, Tomomi Nishida, Hiroyuki Yotsuyanagi, Yukiya Miura Current Testable Design of Resistor String DACs. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hideyuki Ichihara, Yukinori Setohara, Yusuke Nakashima, Tomoo Inoue Test Compression / Decompression Based on JPEG VLC Algorithm. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Weixin Wu, Michael S. Hsiao Mining Sequential Constraints for Pseudo-Functional Testing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Shiyi Xu Reconsideration of Software Reliability Measurements. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Urban Ingelsson, Paul M. Rosinger, S. Saqib Khursheed, Bashir M. Al-Hashimi, Peter Harrod Resistive Bridging Faults DFT with Adaptive Power Management Awareness. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hsieh-Hung Hsieh, Yen-Chih Huang, Liang-Hung Lu, Guo-Wei Huang A BIST Technique for RF Voltage-Controlled Oscillators. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Xijiang Lin Programmable Logic BIST for At-speed Test. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Florence Azaïs, Laurent Larguier, Michel Renovell Impact of Simultaneous Switching Noise on the Static behavior of Digital CMOS Circuits. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Selim Sermet Akbay, Shreyas Sen, Abhijit Chatterjee Testing RF Components with Supply Current Signatures. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ganesh Srinivasan, Abhijit Chatterjee, Vishwanath Natarajan Fourier Spectrum-Based Signature Test: A Genetic CAD Toolbox for Reliable RF Testing Using Low-Performance Test Resources. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Chunsheng Liu, Yang Wu, Yu Huang 0005 Effect of IR-Drop on Path Delay Testing Using Statistical Analysis. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Xinsong Zhang, Simon S. Ang, Chandra Carter Test Point Selections for a Programmable Gain Amplifier Using NIST and Wavelet Transform Methods. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Chandan Giri, Pradeep Kumar Choudhary, Santanu Chattopadhyay Scan Power Reduction Through Scan Architecture Modification And Test Vector Reordering. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Nai-Chen Daniel Cheng, Yu Lee, Ji-Jan Chen A 2-ps Resolution Wide Range BIST Circuit for Jitter Measurement. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alexandre Rousset, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Fast Bridging Fault Diagnosis using Logic Information. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jin-Fu Li 0001 Testing Comparison Faults of Ternary Content Addressable Memories with Asymmetric Cells. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Shahdad Irajpour, Sandeep K. Gupta 0001, Melvin A. Breuer Improving Timing-Independent Testing of Crosstalk Using Realistic Assumptions on Delay Faults. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Liyang Lai, Wu-Tung Cheng, Thomas Rinderknecht Programmable Scan-Based Logic Built-In Self Test. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Stephan Eggersglüß, Rolf Drechsler Improving Test Pattern Compactness in SAT-based ATPG. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Seongmoon Wang, Wenlong Wei, Srimat T. Chakradhar A High Compression and Short Test Sequence Test Compression Technique to Enhance Compressions of LFSR Reseeding. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yasuharu Kohiyama, C. P. Ravikumar, Yasuo Sato, Laung-Terng Wang, Yervant Zorian Next Generation Test, Diagnostics and Yield Challenges for EDA, ATE, IP and Fab - A Perspective from All Sides. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Takahiro J. Yamaguchi Top 5 Issues in Practical Testing of High-Speed Interface Devices. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Krishna Chakravadhanula, Nitin Parimi, Brian Foutz, Bing Li, Vivek Chickermane Low Power Reduced Pin Count Test Methodology. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mingjing Chen, Alex Orailoglu Improving Circuit Robustness with Cost-Effective Soft-Error-Tolerant Sequential Elements. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sean Lu, Dee-Won Lee Integrated Test Solution for embedded UHF/RF SOC. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Livier Lizarraga, Salvador Mir, Gilles Sicard Evaluation of a BIST Technique for CMOS Imagers. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Anis Uzzaman, Fidel Muradali, Takashi Aikyo, Robert C. Aitken, Tom Jackson, Rajesh Galivanche, Takeshi Onodera Test Roles in Diagnosis and Silicon Debug. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Wu Yang, Wu-Tung Cheng, Yu Huang 0005, Martin Keim, Randy Klingenberg Scan Diagnosis and Its Successful Industrial Applications. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Akinori Maeda How the noise floor affects the production yield. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Liquan Fang, Yang Zhong, H. van de Donk, Yizi Xing Implementation of Defect Oriented Testing and ICCQ testing for industrial mixed-signal IC. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Feijun Zheng, Kwang-Ting Cheng, Xiaolang Yan, John Moondanos, Ziyad Hanna An Efficient Diagnostic Test Pattern Generation Framework Using Boolean Satisfiability. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Praveen Parvathala, Srinivas Patil Estimating the Fault Coverage of Functional Test Sequences Without Fault Simulation. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Feng-An Qian, Jian-Hui Jiang An Improved Test Case Generation Method of Pair-Wise Testing. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sanjiv Taneja Keynote Speech 2: Consumerization of Electronics and Nanometer Technologies: Implications on Test. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Brion L. Keller, Tom Jackson, Anis Uzzaman A Review of Power Strategies for DFT and ATPG. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hsuan-Jung Hsu, Chun-Chieh Tu, Shi-Yu Huang Built-In Speed Grading with a Process-Tolerant ADPLL. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1I-De Huang, Sandeep K. Gupta 0001 On Generating Vectors That Invoke High Circuit Delays - Delay Testing and Dynamic Timing Analysis. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Li-Ming Denq, Cheng-Wen Wu A Hybrid BIST Scheme for Multiple Heterogeneous Embedded Memories. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Dong Xiang, Krishnendu Chakrabarty, Dianwei Hu, Hideo Fujiwara Scan Testing for Complete Coverage of Path Delay Faults with Reduced Test Data Volume, Test Application Time, and Hardware Cost. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Xin-Long Li, Katherine Shu-Min Li Layout-Aware Multi-Layer Multi-Level Scan Tree Synthesis. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hafizur Rahaman 0001, Dipak Kumar Kole, Debesh K. Das, Bhargab B. Bhattacharya Optimum Test Set for Bridging Fault Detection in Reversible Circuits. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jochen Rivoir Fast and Low Cost HW Bit Map for Memory Test Based on Residue Polynomial System over GF(2). Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Po-Chang Tsai, Hung-Ming Weng, Katherine Shu-Min Li Test Data and Test Time Reduction for LOS Transition Test in Multi-Mode Segmented Scan Architecture. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Haihua Shen, Heng Zhang An Accurate Analysis of Microprocessor Design Verification. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Nan-Cheng Lai, Sying-Jyan Wang Low-Capture-Power Test Generation by Specifying A Minimum Set of Controlling Inputs. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Toshinori Hosokawa, Ryoichi Inoue, Hideo Fujiwara Fault-dependent/independent Test Generation Methods for State Observable FSMs. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jacob Abraham, Salvador Mir, Yinghua Min, Jeremy Wang, Cheng-Wen Wu Test Education in the Global Economy. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hiroshi Takahashi, Yoshinobu Higami, Shuhei Kadoyama, Takashi Aikyo, Yuzo Takamatsu, Koji Yamazaki, Toshiyuki Tsutsumi, Hiroyuki Yotsuyanagi, Masaki Hashizume Clues for Modeling and Diagnosing Open Faults with Considering Adjacent Lines. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yukiya Miura Detection of Interconnect Open Faults with Unknown Values by Ramp Voltage Application. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jinkyu Lee 0005, Nur A. Touba Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tao Lv 0001, Ling-Yi Liu, Yang Zhao, Huawei Li 0001, Xiaowei Li 0001 An Observability Branch Coverage Metric Based on Dynamic Factored Use-Define Chains. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Paul Wong, Jing Jiang How to Perform DFT Timing in Mixed Signal Designs, from 28 Hours to 7 Minutes. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sanae Seike, Ken Namura, Yukio Ohya, Anis Uzzaman, Shinichi Arima, Dale Meehl, Vivek Chickermane, Azumi Kobayashi, Satoshi Tanaka, Hiroyuki Adachi Early Life Cycle Yield Learning for Nanometer Devices Using Volume Yield Diagnostics Analysis. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Po-Chang Tsai, Sying-Jyan Wang Multi-Mode Segmented Scan Architecture with Layout-Aware Scan Chain Routing for Test Data and Test Time Reduction. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Dhiraj Goswami, Kun-Han Tsai, Mark Kassab, Takeo Kobayashi, Janusz Rajski, Bruce Swanson, Darryl Walters, Yasuo Sato, Toshiharu Asaka, Takashi Aikyo At-Speed Testing with Timing Exceptions and Constraints-Case Studies. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Taweesak Reungpeerakul, Xiaoshu Qian, Samiha Mourad BCH-based Compactors of Test Responses with Controllable Masks. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license