The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BDDs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1994 (30) 1995 (16) 1996 (15) 1997 (27) 1998 (27) 1999 (40) 2000 (38) 2001 (42) 2002 (38) 2003 (44) 2004 (45) 2005 (37) 2006 (50) 2007 (30) 2008 (38) 2009 (20) 2010 (17) 2011-2014 (18) 2015-2018 (16) 2019-2022 (18) 2023 (3)
Publication types (Num. hits)
article(109) incollection(2) inproceedings(497) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 535 occurrences of 278 keywords

Results
Found 609 publication records. Showing 609 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10John Whaley, Monica S. Lam Cloning-based context-sensitive pointer alias analysis using binary decision diagrams. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inclusion-based, Java, scalable, logic programming, program analysis, Datalog, binary decision diagrams, cloning, pointer analysis, context-sensitive
10Nicole Drechsler, Mario Hilgemeier, Görschwin Fey, Rolf Drechsler Disjoint Sum of Product Minimization by Evolutionary Algorithms. Search on Bibsonomy EvoWorkshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Klaus Schneider 0001, Jens Brandt 0001, Tobias Schüle Causality analysis of synchronous programs with delayed actions. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF causality, synchronous languages, ternary logic, fixpoints
10Zijiang Yang 0006, Rajeev Alur Variable Reuse for Efficient Image Computation. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Mohammad Awedh, Fabio Somenzi Increasing the Robustness of Bounded Model Checking by Computing Lower Bounds on the Reachable States. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Orna Grumberg, Assaf Schuster, Avi Yadgar Memory Efficient All-Solutions SAT Solver and Its Application for Reachability Analysis. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Masahito Kurihara, Hisashi Kondo Efficient BDD Encodings for Partial Order Constraints with Application to Expert Systems in Software Verification. Search on Bibsonomy IEA/AIE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jie-Hong Roland Jiang, Robert K. Brayton On the verification of sequential equivalence. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tuba Yavuz-Kahveci, Tevfik Bultan A symbolic manipulator for automated verification of reactive systems with heterogeneous data types. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Composite representation, BDD, Symbolic model checking, Presburger arithmetic
10Poul Frederick Williams, Henrik Reif Andersen, Henrik Hulgaard Satisfiability checking using Boolean Expression Diagrams. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Juraj Hromkovic, Martin Sauerhoff The Power of Nondeterminism and Randomness for Oblivious Branching Programs. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Dirk Beyer 0001, Claus Lewerentz, Andreas Noack Rabbit: A Tool for BDD-Based Verification of Real-Time Systems. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Shuvendu K. Lahiri, Randal E. Bryant, Byron Cook A Symbolic Approach to Predicate Abstraction. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Sanjit A. Seshia, Randal E. Bryant Unbounded, Fully Symbolic Model Checking of Timed Automata using Boolean Methods. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ateet Bhalla, Inês Lynce, José T. de Sousa, João Marques-Silva 0001 Heuristic Backtracking Algorithms for SAT. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Gianpiero Cabodi, Sergio Nocco, Stefano Quer Improving SAT-Based Bounded Model Checking by Means of BDD-Based Approximate Traversals. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10P. W. Chandana Prasad, M. Maria Dominic, Ashutosh Kumar Singh Variable Order Verification Use of Logic Representation. Search on Bibsonomy ICADL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Junhao Shi, Görschwin Fey, Rolf Drechsler BDD Based Synthesis of Symmetric Functions with Full Path-Delay Fault Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Akihiko Tozawa, Masami Hagiya XML Schema Containment Checking Based on Semi-implicit Techniques. Search on Bibsonomy CIAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10E. Allen Emerson, Thomas Wahl On Combining Symmetry Reduction and Symbolic Representation for Efficient Model Checking. Search on Bibsonomy CHARME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rachel Tzoref, Mark Matusevich, Eli Berger, Ilan Beer An Optimized Symbolic Bounded Model Checking Engine. Search on Bibsonomy CHARME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Enric Pastor, Marco A. Peña Efficient Hybrid Reachability Analysis for Asynchronous Concurrent Systems. Search on Bibsonomy CHARME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rüdiger Ebendt Reducing the number of variable movements in exact BDD minimization. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Bijan Alizadeh, Mohammad Reza Kakoee Using Integer Equations for High Level Formal Verification Property Checking. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Hyeong-Ju Kang, In-Cheol Park SAT-based unbounded symbolic model checking. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF boolean satisfiability checking, unbounded symbolic model checking, formal verification, symbolic model checking
10Amit Goel, Gagan Hasteer, Randal E. Bryant Symbolic representation with ordered function templates. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF function templates, logic design verification, boolean functions, binary decision diagrams, symbolic simulation
10David Déharbe, Silvio Ranise Light-Weight Theorem Proving for Debugging and Verifying Units of Code. Search on Bibsonomy SEFM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Shin-ichi Minato Streaming BDD Manipulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF algorithm, verification, testing, data structure, logic design, binary decision diagram, BDD, combinatorial problem, VLSI CAD
10William N. N. Hung, Xiaoyu Song, El Mostapha Aboulhamid, Michael A. Driscoll BDD minimization by scatter search. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Guoqiang Pan, Ulrike Sattler, Moshe Y. Vardi BDD-Based Decision Procedures for K. Search on Bibsonomy CADE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hee-Hwan Kwak, In-Ho Moon, James H. Kukula, Thomas R. Shiple Combinational equivalence checking through function transformation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF combinational verification, equivalence checking
10Tuba Yavuz-Kahveci, Tevfik Bultan Automated Verification of Concurrent Linked Lists with Counters. Search on Bibsonomy SAS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Geun Rae Cho, Tom Chen 0001 Mixed PTL/Static Logic Synthesis Using Genetic Algorithms for Low-Power Applications. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Mixed PTL/Static, Lower-Power Technology Mapping, Logic Synthesis, Pass Transistor Logic
10Marc Solé, Enric Pastor Traversal Techniques for Concurrent Systems. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Torsten Robschink, Gregor Snelting Efficient path conditions in dependence graphs. Search on Bibsonomy ICSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Malay K. Ganai, Adnan Aziz Improved SAT-Based Bounded Reachability Analysis. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Ian Davies, William J. Knottenbelt, Pieter S. Kritzinger Symbolic Methods for the State Space Exploration of GSPN Models. Search on Bibsonomy Computer Performance Evaluation / TOOLS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Alessandro Cimatti, Enrico Giunchiglia, Marco Pistore, Marco Roveri, Roberto Sebastiani, Armando Tacchella Integrating BDD-Based and SAT-Based Symbolic Model Checking. Search on Bibsonomy FroCoS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Randal E. Bryant, Steven M. German, Miroslav N. Velev Processor verification using efficient reductions of the logic of uninterpreted functions to propositional logic. Search on Bibsonomy ACM Trans. Comput. Log. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF processor verfication, decision procedures, uninterpreted functions
10Enric Pastor, Jordi Cortadella, Oriol Roig Symbolic Analysis of Bounded Petri Nets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Binary Decition Diagrams, Petri nets, formal verification, symbolic methods
10Rolf Drechsler, Wolfgang Günther 0001, Fabio Somenzi Using lower bounds during dynamic BDD minimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Malay K. Ganai, Praveen Yalagandula, Adnan Aziz, Andreas Kuehlmann, Vigyan Singhal SIVA: A System for Coverage-Directed State Space Search. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF formal methods, coverage, functional verification, guided search
10Thomas Ball, Sriram K. Rajamani Bebop: a path-sensitive interprocedural dataflow engine. Search on Bibsonomy PASTE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Javier Esparza, Stefan Schwoon A BDD-Based Model Checker for Recursive Programs. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10John Moondanos, Carl-Johan H. Seger, Ziyad Hanna, Daher Kaiss CLEVER: Divide and Conquer Combinational Logic Equivalence VERification with False Negative Elimination. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Edmund M. Clarke, Orna Grumberg, Somesh Jha, Yuan Lu 0004, Helmut Veith Progress on the State Explosion Problem in Model Checking. Search on Bibsonomy Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Per Lindgren, Mikael Kerttu, Mitchell A. Thornton, Rolf Drechsler Low power optimization technique for BDD mapped circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Michael Baldamus, Klaus Schneider 0001 The BDD Space Complexity of Different Forms of Concurrency. Search on Bibsonomy ACSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Pankaj Chauhan, Edmund M. Clarke, Somesh Jha, James H. Kukula, Helmut Veith, Dong Wang Using Combinatorial Optimization Methods for Quantification Scheduling. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Tuba Yavuz-Kahveci, Murat Tuncer, Tevfik Bultan A Library for Composite Symbolic Representations. Search on Bibsonomy TACAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Poul Frederick Williams, Henrik Reif Andersen, Henrik Hulgaard Satisfiability Checking Using Boolean Expression Diagrams. Search on Bibsonomy TACAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10S. Sriram, R. Tandon, Pallab Dasgupta, P. P. Chakrabarti 0001 Symbolic verification of Boolean constraints over partially specified functions. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Nicole Drechsler, Frank Schmiedle, Daniel Große, Rolf Drechsler Heuristic Learning Based on Genetic Programming. Search on Bibsonomy EuroGP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Wolfgang Günther 0001, Rolf Drechsler Performance Driven Optimization for MUX based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10David E. Long, Mahesh A. Iyer, Miron Abramovici FILL and FUNI: algorithms to identify illegal states and sequentially untestable faults. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF illegal states, sequential circuits, automatic test generation, untestable faults
10Priyank Kalla, Zhihong Zeng, Maciej J. Ciesielski, ChiLai Huang A BDD-Based Satisfiability Infrastructure Using the Unate Recursive Paradigm. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Steffen Hölldobler, Hans-Peter Störr Solving the Entailment Problem in the Fluent Calculus Using Binary Decision Diagrams. Search on Bibsonomy Computational Logic The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Dragan Jankovic, Wolfgang Günther 0001, Rolf Drechsler Lower Bound Sifting for MDDs. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Wolfgang Günther 0001, Nicole Drechsler, Rolf Drechsler, Bernd Becker 0001 Verification of Designs Containing Black Boxes. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Rolf Drechsler, Wolfgang Günther 0001, Bernd Becker 0001 Testability of Circuits Derived from Lattice Diagrams. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Wolfgang Günther 0001, Rolf Drechsler, Stefan Höreth Efficient Dynamic Minimization of Word-Level DDs Based on Lower Bound Computation. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10In-Ho Moon, Gary D. Hachtel, Fabio Somenzi Border-Block Triangular Form and Conjunction Schedule in Image Computation. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Randal E. Bryant, Pankaj Chauhan, Edmund M. Clarke, Amit Goel A Theory of Consistency for Modular Synchronous Systems. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Aarti Gupta, Pranav Ashar Fast Error Diagnosis for Combinational Verification. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Satisfiability Checking, Formal Verification, Combinational Circuits, Binary Decision Diagrams, Logic Simulation, Error Diagnosis
10Congguang Yang, Maciej J. Ciesielski, Vigyan Singhal BDS: a BDD-based logic optimization system. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Chris Wilson, David L. Dill Reliable verification using symbolic simulation with scalar values. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Roderick Bloem, Kavita Ravi, Fabio Somenzi Symbolic guided search for CTL model checking. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Dinos Moundanos, Jacob A. Abraham On Design Validation Using Verification Technology. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF extracted control flow machine, verification, abstraction, test generation, coverage analysis, OBDDs
10Enrique San Millán, Luis Entrena, José Alberto Espejo, Silvia Chiusano, Fulvio Corno Integrating Symbolic Techniques in ATPG-Based Sequential Logic Optimization. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Miroslav N. Velev, Randal E. Bryant Superscalar Processor Verification Using Efficient Reductions of the Logic of Equality with Uninterpreted Functions to Propositional Logic. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Y. Tachi, Satoshi Yamane Real-Time Symbolic Model Checking for Hard Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF real-time symbolic model checking, real-time systems, timed automaton, real-time temporal logic
10Laurent Mauborgne Binary Decision Graphs. Search on Bibsonomy SAS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Hafiz Md. Hasan Babu, Tsutomu Sasao Shared Multiple-Valued Decision Diagrams for Multiple-Output Functions. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Andreas G. Veneris, Ibrahim N. Hajj Correcting multiple design errors in digital VLSI circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Samuel Devulder, Jean-Luc Lambert A Comparative Study between Linear Programming Validation (LPV) and other Verification Methods. Search on Bibsonomy ASE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jesper B. Møller, Jakob Lichtenberg, Henrik Reif Andersen, Henrik Hulgaard Difference Decision Diagrams. Search on Bibsonomy CSL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Robert A. Thacker, Wendy Belluomini, Chris J. Myers Timed Circuit Synthesis Using Implicit Methods. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Gi-Joon Nam, Karem A. Sakallah, Rob A. Rutenbar Satisfiability-Based Detailed FPGA Routing. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Pankaj Chauhan, Pallab Dasgupta, P. P. Chakrabarti 0001 Exploiting Isomorphism for Compaction and Faster Simulation of Binary Decision Diagrams. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Detlef Sieling Lower Bounds for Linear Transformed OBDDs and FBDDs (Extende Abstract). Search on Bibsonomy FSTTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino Power Estimation of Behavioral Descriptions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Behavioral power estimation, macromodeling, design exploration
10Kazuhiro Nakamura, Satoshi Yamane Formal Verification of Real-Time Software by Symbolic Model-Checker. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF temporal logic, approximations, BDD, symbolic model-checking, real-time software
10Edmund M. Clarke, Sergey Berezin Model Checking: Historical Perspective and Example (Extended Abstract). Search on Bibsonomy TABLEAUX The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Tevfik Bultan, Richard Gerber 0001, Christopher League Verifying Systems with Integer Constraints and Boolean Predicates: A Composite Approach. Search on Bibsonomy ISSTA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10David James Sherman, Nicolas Magnier Factotum: Automatic and Systematic Sharing Support for Systems Analyzers. Search on Bibsonomy TACAS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Jürgen Ruf, Thomas Kropf Using MTBDDs for Compostion and Model Checking of Real-Time Systems. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Yufeng Luo, Tjahjadi Wongsonegoro, Adnan Aziz Hybrid Techniques for Fast Functional Simulation. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ASIC verification, simulation, emulation
10Timothy Kam, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Implicit computation of compatible sets for state minimization of ISFSMs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Bard Bloom, Allan Cheng, Ashvin Dsouza Using a Protean Language to Enhance Expressiveness in Specification. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF model checking, verification, Formal methods, specification, process algebra, structured operational semantics
10William Chan 0001, Richard J. Anderson, Paul Beame, David Notkin Combining Constraint Solving and Symbolic Model Checking for a Class of a Systems with Non-linear Constraints. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Rajeev Alur, Robert K. Brayton, Thomas A. Henzinger, Shaz Qadeer, Sriram K. Rajamani Partial-Order Reduction in Symbolic State Space Exploration. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Hoon Choi, Seung Ho Hwang Improving the accuracy of support-set finding method for power estimation of combinational circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Aiguo Xie, Peter A. Beerel Symbolic Techniques for Performance Analysis of Timed Systems Based on Average Time Separation of Events. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF time separation of events, Markov chains, probabilistic modeling, asynchronous, performance metrics, sojourn times, timed systems, symbolic techniques
10Christel Baier, Edmund M. Clarke, Vasiliki Hartonas-Garmhausen, Marta Z. Kwiatkowska, Mark Ryan 0001 Symbolic Model Checking for Probabilistic Processes. Search on Bibsonomy ICALP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Silvia Chiusano, Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda Guaranteeing Testability in Re-encoding for Low Power. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Initialization sequence, Genetic Algorithm, ATPG
10Christoph Scholl 0001, Rolf Drechsler, Bernd Becker 0001 Functional simulation using binary decision diagrams. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Binary Decision Diagrams, Functional simulation
10Sreeranga P. Rajan, Natarajan Shankar, Mandayam K. Srivas Industrial Strength Formal Verification Techniques for Hardware Designs. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Bwolen Yang, David R. O'Hallaron Parallel Breadth-First BDD Construction. Search on Bibsonomy PPoPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Krzysztof Bilinski, Erik L. Dagless High Level Synthesis of Synchronous Parallel Controllers. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Rosario Pugliese, Enrico Tronci Automatic Verification of a Hydroelectric Power Plant. Search on Bibsonomy FME The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 609 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license