The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Clocking with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1987 (16) 1988-1992 (17) 1993-1995 (35) 1996-1997 (27) 1998-1999 (36) 2000 (16) 2001 (20) 2002 (21) 2003 (33) 2004 (32) 2005 (44) 2006 (42) 2007 (42) 2008 (34) 2009 (29) 2010 (17) 2011-2012 (33) 2013-2014 (34) 2015 (22) 2016-2017 (26) 2018 (20) 2019 (16) 2020-2021 (26) 2022 (21) 2023-2024 (14)
Publication types (Num. hits)
article(213) incollection(3) inproceedings(452) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 371 occurrences of 231 keywords

Results
Found 673 publication records. Showing 673 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Kyosun Kim, Kaijie Wu 0001, Ramesh Karri The Robust QCA Adder Designs Using Composable QCA Building Blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Simon Ogg, Enrico Valli, Crescenzo D'Alessandro, Alexandre Yakovlev, Bashir M. Al-Hashimi, Luca Benini Reducing Interconnect Cost in NoC through Serialized Asynchronous Links. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Craig A. Stewart, Malinda Lingwall, David A. Bader Lecture on Progress toward Petascale Applications in Bioinformatics and Computational Biology. Search on Bibsonomy BIBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Bernhard Fechner, Andre Osterloh Transient Fault Detection in State-Automata. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Timothy Johnson, Umesh Nawathe An 8-core, 64-thread, 64-bit power efficient sparc soc (niagara2). Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Anna Richelli, Luca Mensi, Luigi Colalongo, Zsolt Miklós Kovács-Vajna, Pier Luigi Rolandi A 1.2V-5V High Efficiency CMOS Charge Pump for Non-Volatile Memories. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Héctor Pettenghi, Maria J. Avedillo, José M. Quintana Non Return Mobile Logic Family. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yuxin Wang, Zeljko Ignjatovic On-Chip Substrate Noise Suppression Using Clock Randomization Methodology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Amit Mondal, Aleksandar Kuzmanovic A Poisoning-Resilient TCP Stack. Search on Bibsonomy ICNP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ismo Hänninen, Jarmo Takala Robust Adders Based on Quantum-Dot Cellular Automata. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Masaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi A Scalable Framework for Defect Isolation of DNA Self-assemlbled Networks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Kathi Fisler Two-Dimensional Regular Expressions for Compositional Bus Protocols. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope High Level Modelling and Design For a Microthreaded Scheduler to Support Microgrids. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hisako Adachi, Shinji Nakamura Efficient Designs for Adder Comparator. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Rostislav (Reuven) Dobkin, Ran Ginosar, Christos P. Sotiriou High Rate Data Synchronization in GALS SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Marco Ottavi, Luca Schiano, Fabrizio Lombardi, Douglas Tougaw HDLQ: A HDL environment for QCA design. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CAD, fault injection, QCA, HDL
10Chidamber Kulkarni, Gordon J. Brebner Memory centric thread synchronization on platform FPGAs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mikkel Bystrup Stensgaard, Tobias Bjerregaard, Jens Sparsø, Johnny Halkjær Pedersen A Simple Clockless Network-on-Chip for a Commercial Audio DSP Chip. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Nobuo Karaki Asynchronous Design: An Enabler for Flexible Microelectronics. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh Fast multi-domain clock skew scheduling for peak current reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Navneeth Kankani, Vineet Agarwal, Janet Meiling Wang A probabilistic analysis of pipelined global interconnect under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Matthias Függer, Ulrich Schmid 0001, Gottfried Fuchs, Gerald Kempf Fault-Tolerant Distributed Clock Generation in VLSI Systems-on-Chip. Search on Bibsonomy EDCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10JungHee Jo, KyoungWook Min, YongJoon Lee Architecture of an LBS Platform to Support Privacy Control for Tracking Moving Objects in a Ubiquitous Environments. Search on Bibsonomy ICUCT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria Zero skew differential clock distribution network. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Pei-Yu Huang, Yu-Min Lee, Jeng-Liang Tsai, Charlie Chung-Ping Chen Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS method. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mitchell J. Myjak, José G. Delgado-Frias Superpipelined reconfigurable hardware for DSP. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mohammad B. Vahidfar, Omid Shoaei, M. Fardis A low power, transverse analog FIR filter for feed forward equalization of gigabit Ethernet. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Markus Ferringer, Gottfried Fuchs, Andreas Steininger, Gerald Kempf VLSI Implementation of a Fault-Tolerant Distributed Clock Generation. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Konrad Walus, Gabriel Schulhof, Graham A. Jullien Implementation of a Simulation Engine for Clocked Molecular QCA. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sanjoy Kumar Dey, Swapna Banerjee An 8-Bit, 3.8GHz Dynamic BiCMOS Comparator for High-Performance ADC. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Chua-Chin Wang, Gang-Neng Sung, Ming-Kai Chang, Ying-Yu Shen Engery-Efficient Double-Edge Triggered Flip-Flop Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu Register binding for clock period minimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, clock skew, timing optimization
10John M. Cohn, Jeong-Taek Kong, Chris Malachowsky, Rich Tobias, Brendan Traw Design challenges for next-generation multimedia, game and entertainment platforms. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multimedia, gaming, design methodology, entertainment
10Miguel Eduardo Litvin, Samiha Mourad Self-reset logic for fast arithmetic applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Russell Tessier, David Jasinski, Atul Maheshwari, Aiyappan Natarajan, Weifeng Xu, Wayne P. Burleson An energy-aware active smart card. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Xiaoming Yu, Miron Abramovici Sequential circuit ATPG using combinational algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Bryan Veal, Kang Li 0001, David K. Lowenthal New Methods for Passive Estimation of TCP Round-Trip Times. Search on Bibsonomy PAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Khushboo Shah, Stephan Bohacek High short-term bit-rates from TCP Flows. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Elad Barkan, Eli Biham Conditional Estimators: An Effective Attack on A5/1. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Christian Jacobi 0002, Kai Weber 0001, Viresh Paruthi, Jason Baumgartner Automatic Formal Verification of Fused-Multiply-Add FPUs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yu-Shen Yang, Andreas G. Veneris, Paul J. Thadikaran, Srikanth Venkataraman Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hans M. Jacobson, Pradip Bose, Zhigang Hu, Alper Buyuktosunoglu, Victor V. Zyuban, Richard J. Eickemeyer, Lee Eisen, John Griswell, Doug Logan, Balaram Sinharoy, Joel M. Tendler Stretching the Limits of Clock-Gating Efficiency in Server-Class Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jiantao Wang, David X. Wei, Steven H. Low Modelling and stability of FAST TCP. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amol Y. Deshmukh, Jayant Morghade, Akashdeep Khera, Preeti R. Bajaj Binary Neural Networks - A CMOS Design Approach. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Craig S. Lent, Sarah E. Frost, Peter M. Kogge Reversible computation with quantum-dot cellular automata (QCA). Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reversible computing, quantum-dot cellular automata, molecular electronics
10Michael P. Frank Introduction to reversible computing: motivation, progress, and challenges. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF digital logic technologies, field-effect devices, limits of computing, VLSI, high-performance computing, computer architecture, power management, reversible computing, reversible logic, unconventional computing, low-power computing
10Rumi Zhang, Wei Wang 0003, Konrad Walus, Graham A. Jullien Performance comparison of quantum-dot cellular automata adders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Wei-Ta Chen, Jen-Chien Hsu, Hong-Wen Lune, Chauchin Su A spread spectrum clock generator for SATA-II. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amparo Fúster-Sabater, Pino Caballero-Gil A Simple Acceptance/Rejection Criterium for Sequence Generators in Symmetric Cryptography. Search on Bibsonomy ICCSA (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bit-string algorithm, cryptography, stream cipher, Confidentiality
10Deepak C. Sekar Clock trees: differential or single ended?. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Rung-Shiang Cheng, Hui-Tang Lin, Wen-Shyang Hwang, Ce-Kuen Shieh Improving the Ramping up Behavior of TCP Slow Start. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ashok Narasimhan, Shantanu Divekar, Praveen Elakkumanan, Ramalingam Sridhar A Low-Power Current-Mode Clock Distribution Scheme for Multi-GHz NoC-Based SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Emil Talpes, Diana Marculescu Increased Scalability and Power Efficiency by Using Multiple Speed Pipelines. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Saraju P. Mohanty, Nagarajan Ranganathan A framework for energy and transient power reduction during behavioral synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ravi S. Prasad, Manish Jain, Constantinos Dovrolis Effects of Interrupt Coalescence on Network Measurements. Search on Bibsonomy PAM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10W. J. Bainbridge, Luis A. Plana, Stephen B. Furber The Design and Test of a Smartcard Chip Using a CHAIN Self-Timed Network-on-Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Aristides Efthymiou, Christos P. Sotiriou, Douglas A. Edwards Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Juan C. Diaz, Marta Saburit Clock Management in a Gigabit Ethernet Physical Layer Transceiver Circuit. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Matthew W. Heath, Wayne P. Burleson, Ian G. Harris Synchro-Tokens: Eliminating Nondeterminism to Enable Chip-Level Test of Globally-Asynchronous Locally-Synchronous SoC?s. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Scott Fairbanks, Simon W. Moore Analog Micropipeline Rings for High Precision Timing. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ali Kanso More Generalized Clock-Controlled Alternating Step Generator. Search on Bibsonomy ACNS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Clock-Controlled Registers, Alternating Step Generator and Clock-Controlled Alernating Step Generator, Stream Ciphers
10Elaine Ou, Woodward Yang Fast Error-Correcting Circuits for Fault-Tolerant Memory. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Baohua Wang, Pinaki Mazumder On optimality of adiabatic switching in MOS energy-recovery circuit. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adiabatic circuit, power clock optimization, variational calculus
10Baohua Wang, Pinaki Mazumder On optimality of adiabatic switching in MOS energy-recovery circuit. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adiabatic circuit, power clock optimization, variational calculus
10Daniel J. Allen, Angelo W. Pereira, Paul E. Hasler A programmable coefficient continuous-time A/D Delta-Sigma modulator. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Manuel Salim Maza, Mónico Linares Aranda Analysis and verification of interconnected rings as clock distribution networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF GALS, ring oscillators, clock distribution networks
10David C. Keezer, Dany Minier, F. Binette Modular Extension of ATE to 5 Gbps. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Laung-Terng Wang, Khader S. Abdel-Hafez, Shianling Wu, Xiaoqing Wen, Hiroshi Furukawa, Fei-Sheng Hsu, Shyh-Horng Lin, Sen-Wei Tsai VirtualScan: A New Compressed Scan Technology for Test Cost Reduction. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jeff Rearick, Sylvia Patterson, Krista Dorner Integrating Boundary Scan into Multi-GHz I/O Circuitry. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Saraju P. Mohanty, Nagarajan Ranganathan, Sunil K. Chappidi ILP Models for Energy and Transient Power Minimization During Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10David S. Kung 0001 Timing closure for low-FO4 microprocessor design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FO4, synthesis, placement, high performance
10Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Wave steering to integrate logic and physical syntheses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jovan Dj. Golic, Renato Menicocci Edit Probability Correlation Attacks on Stop/ Go Clocked Keystream Generators. Search on Bibsonomy J. Cryptol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Stop/go clocked shift registers, Edit probability, Stream ciphers, Correlation attack
10Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye, David Duarte Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, optimizations, energy models, Energy estimation
10Ed Grochowski, David Ayers, Vivek Tiwari Microarchitectural dI/dt Control. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Milos Krstic, Eckhard Grass New GALS Technique for Datapath Architectures. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Peak Power Minimization Through Datapath Scheduling. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Conrad H. Ziesler, Joohee Kim, Marios C. Papaefthymiou Energy Recovering ASIC Design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Yaron Semiat, Ran Ginosar Timing Measurements of Synchronization Circuits. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10L. Kalyan Kumar, Amol J. Mupid, Aditya S. Ramani, V. Kamakoti 0001 Testable Clock Routing Architecture for Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew
10Ali Kanso Clock-Controlled Shrinking Generator of Feedback Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi Power Fluctuation Minimization During Behavioral Synthesis using ILP-Based Datapath Scheduling. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Keith D. Cooper, Li Xu Memory Redundancy Elimination to Improve Application Energy Efficiency. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Soha Hassoun Optimal use of 2-phase transparent latches in buffered maze routing. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jongsun Kim, Zhiwei Xu 0003, Mau-Chung Frank Chang Reconfigurable memory bus systems using multi-Gbps/pin CDMA I/O transceivers. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Bhushan A. Shinkre, James E. Stine A pipelined clock-delayed domino carry-lookahead adder. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Saraju P. Mohanty, N. Ranganathan A Framework for Energy and Transient Power Reduction during Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rohini Krishnan, Om Prakash Gangwal, Jos T. J. van Eijndhoven, Anshul Kumar Design of a 2D DCT/IDCT application specific VLIW processor supporting scaled and sub-sampled blocks. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Willi Geiselmann, Rainer Steinwandt Hardware to Solve Sparse Systems of Linear Equations over GF(2). Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Yu-Min Lee, Charlie Chung-Ping Chen Power grid transient simulation in linear time based on transmission-line-modeling alternating-direction-implicit method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Victor Varshavsky, Vyacheslav Marakhovsky GALA (Globally Asynchronous - Locally Arbitrary) Design. Search on Bibsonomy Concurrency and Hardware Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Li Shang, Alireza Kaviani, Kusuma Bathala Dynamic power consumption in Virtex[tm]-II FPGA family. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Keith J. Keller, Hiroshi Takahashi, Kim T. Le, Kewal K. Saluja, Yuzo Takamatsu Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Pradip Bose, David M. Brooks, Alper Buyuktosunoglu, Peter W. Cook, K. Das, Philip G. Emma, Michael Gschwind, Hans M. Jacobson, Tejas Karkhanis, Prabhakar Kudva, Stanley Schuster, James E. Smith 0001, Viji Srinivasan, Victor V. Zyuban, David H. Albonesi, Sandhya Dwarkadas Early-Stage Definition of LPX: A Low Power Issue-Execute Processor. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Brucek Khailany, William J. Dally, Andrew Chang 0001, Ujval J. Kapasi, Jinyung Namkoong, Brian Towles VLSI Design and Verification of the Imagine Processor. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Kong-Pang Pun, Chiu-sing Choy, Cheong-Fat Chan, José E. Franca A quadrature IF mixer with high image rejection for continuous-time complex Sigma-Delta modulators. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Sungwook Kim, Gerald E. Sobelman Efficient digit-serial FIR filters with skew-tolerant domino. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Victor V. Zyuban Unified architecture level energy-efficiency metric. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, architecture, energy-efficiency, metric, power, energy, microarchitecture
Displaying result #501 - #600 of 673 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license