|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 720 occurrences of 377 keywords
|
|
|
Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera |
Equivalent waveform propagation for static timing analysis. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Chanseok Hwang, Massoud Pedram |
Interconnect design methods for memory design. |
ASP-DAC |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Enyue Lu, S. Q. Zheng 0001 |
Parallel Routing Algorithms for Nonblocking Electronic and Photonic Multistage Switching Networks. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Tao Feng, Byoungjae Jin, J. Wang, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi |
Fault tolerant clockless wave pipeline design. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
clockless wave pipeline, inter-wave fault, intra-wave fault, fault tolerance, reliability |
17 | Daniele Rossi 0001, A. Muccio, André K. Nieuwland, Atul Katoch, Cecilia Metra |
Impact of ECCs on Simultaneously Switching Output Noise for On-Chip Busses of High Reliability Systems. |
IOLTS |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Enyue Lu, S. Q. Zheng 0001 |
Parallel Routing and Wavelength Assignment for Optical Multistage Interconnection Networks. |
ICPP |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Selam T. Ahderom, Mehrdad Raisi, Kamal E. Alameh, Kamran Eshraghian |
Testing and Analysis of Computer Generated Holograms for MicroPhotonic Devices. |
DELTA |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Sachin Shrivastava, Dhanoop Varghese, Vikas Narang, N. V. Arvind |
Improved Approach for Noise Propagation to Identify Functional Noise Violations. |
VLSI Design |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang |
Noise-aware interconnect power optimization in domino logic synthesis. |
IEEE Trans. Very Large Scale Integr. Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Atsushi Sakai, Takashi Yamada, Yoshifumi Matsushita, Hiroto Yasuura |
Reduction of coupling effects by optimizing the 3-D configuration of the routing grid. |
IEEE Trans. Very Large Scale Integr. Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Prashant Saxena, Satyanarayan Gupta |
On integrating power and signal routing for shield count minimization in congested regions. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Joel Grodstein, Dilip K. Bhavsar, Vijay Bettada, Richard A. Davies |
Automatic Generation of Critical-Path Tests for a Partial-Scan Microprocessor. |
ICCD |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Ken Yamamoto, Minoru Fujishima, Koichiro Hoh |
Optimization of shield structures in analog integrated circuits. |
ISCAS (1) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Marcel Gabrea |
Two microphones speech enhancement system based on a double affine projection algorithm. |
ISCAS (2) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi |
Noise-constrained interconnect optimization for nanometer technologies. |
ISCAS (5) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Patrick Gebhard, Michael Kipp, Martin Klesen, Thomas Rist |
Authoring scenes for adaptive, interactive performances. |
AAMAS |
2003 |
DBLP DOI BibTeX RDF |
authoring, embodied agents, user adaptivity, believability, virtual theater |
17 | Yonghee Im, Kaushik Roy 0001 |
O2ABA: a novel high-performance predictable circuit architecture for the deep submicron era. |
IEEE Trans. Very Large Scale Integr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Hannu Tenhunen, Dinesh Pamunuwa |
On dynamic delay and repeater insertion. |
ISCAS (1) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Liang C. Chu, Martin A. Brooke |
An enhancement study on the SDSL upstream receiver. |
ISCAS (4) |
2001 |
DBLP DOI BibTeX RDF |
|
17 | Dinesh Pamunuwa, Hannu Tenhunen |
Repeater Insertion To Minimise Delay In Coupled Interconnects. |
VLSI Design |
2001 |
DBLP DOI BibTeX RDF |
|
17 | Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang |
Noise-aware power optimization for on-chip interconnect. |
ISLPED |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Erik A. McShane, Krishna Shenai |
Correct-by-Design CAD Enhancement for EMI Signal Integrity. |
ISQED |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Gin Yee, Tyler Thorp, Ron Christopherson, Ban P. Wang, Carl Sechen |
An Automated Shielding Algorithm and Tool For Dynamic Circuits. |
ISQED |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Lieven Vandenberghe, Stephen P. Boyd, Abbas A. El Gamal |
Optimizing dominant time constant in RC circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Arthur K. Liu, John W. Belliveau, Anders M. Dale |
Visualizing Spatial Resolution of Linear Estimation Techniques of Electromagnetic Brain Activity Localization. |
MICCAI |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Jun Dong Cho, Majid Sarrafzadeh, Mysore Sriram, Sung-Mo Kang |
High-Performance MCM Routing. |
IEEE Des. Test Comput. |
1993 |
DBLP DOI BibTeX RDF |
|
15 | Daniele Rossi 0001, Paolo Angelini, Cecilia Metra, Giovanni Campardo, Gian Pietro Vanalli |
Risks for Signal Integrity in System in Package and Possible Remedies. |
ETS |
2008 |
DBLP DOI BibTeX RDF |
Crosstalk, Error Detecting Codes, Signal Integrity, System in Package |
15 | Azad Naeemi, James D. Meindl |
Carbon nanotube interconnects. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
quantum wires, crosstalk, inductance, repeaters, molecular electronics, system analysis and design, system optimization |
15 | Nallamothu Satyanarayana, Madhu Mutyam, A. Vinaya Babu |
Exploiting on-chip data behavior for delay minimization. |
SLIP |
2007 |
DBLP DOI BibTeX RDF |
coding, crosstalk, deep-submicron |
15 | Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas |
Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling |
15 | Rajeshwary Tayade, Vijay Kiran Kalyanam, Sani R. Nassif, Michael Orshansky, Jacob A. Abraham |
Estimating path delay distribution considering coupling noise. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
dynamic delay variation, coupling, crosstalk |
15 | Debasish Das, Ahmed Shebaita, Yehea I. Ismail, Hai Zhou 0001, Kip Killpack |
NostraXtalk: a predictive framework for accurate static timing analysis in udsm vlsi circuits. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
modeling, crosstalk, static timing analysis |
15 | Tao Wu, Arun K. Somani |
Attack monitoring and localization in All-Optical Networks. |
Clust. Comput. |
2006 |
DBLP DOI BibTeX RDF |
AON, Monitor, Attack, Crosstalk |
15 | Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali |
Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. |
SBCCI |
2006 |
DBLP DOI BibTeX RDF |
crosstalk, mixed-signal ICs |
15 | K. Najeeb, Vishal Gupta, V. Kamakoti 0001, Madhu Mutyam |
Delay and peak power minimization for on-chip buses using temporal redundancy. |
ACM Great Lakes Symposium on VLSI |
2006 |
DBLP DOI BibTeX RDF |
low-power, coding, crosstalk |
15 | Hanif Fatemi, Shahin Nazarian, Massoud Pedram |
Statistical logic cell delay analysis using a current-based model. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
statistical gate timing analysis, process variations, crosstalk noise |
15 | Sung Kyu Lim |
Physical Design for 3D System on Package. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
3D packaging, thermal distribution, crosstalk, placement and routing, decoupling capacitors, System-On-Package |
15 | Di Wu 0017, Jiang Hu, Rabi N. Mahapatra |
Coupling aware timing optimization and antenna avoidance in layer assignment. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
VLSI, interconnect, probabilistic modeling, physical design, crosstalk, design for manufacturability (DFM), antenna effect |
15 | Liang Zhang 0038, John M. Wilson 0002, Rizwan Bashirullah, Lei Luo 0006, Jian Xu, Paul D. Franzon |
Driver pre-emphasis techniques for on-chip global buses. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
current sensing, peak current, pre-emphasis, low-power, crosstalk, differential, on-chip bus |
15 | Zaid Al-Ars, Martin Herzog, Ivo Schanstra, Ad J. van de Goor |
Influence of Bit Line Twisting on the Faulty Behavior of DRAMs. |
MTDT |
2004 |
DBLP DOI BibTeX RDF |
Bit line twisting, bit line coupling, DRAMs, crosstalk noise, defect simulation, faulty behavior |
15 | Srinivasa R. Sridhara, Naresh R. Shanbhag |
Coding for system-on-chip networks: a unified framework. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
bus coding, crosstalk avoidance, low-power, error-correcting codes, low-swing |
15 | Yuanyuan Yang 0001, Jianchao Wang |
Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Interconnects. |
IEEE Trans. Parallel Distributed Syst. |
2003 |
DBLP DOI BibTeX RDF |
link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange |
15 | Murat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda |
Signal integrity management in an SoC physical design flow. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
noise avoidance, noise repair, signal integrity, crosstalk noise |
15 | Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer |
TA-PSV - Timing Analysis for Partially Specified Vectors. |
J. Electron. Test. |
2002 |
DBLP DOI BibTeX RDF |
timing analysis for partially specified vectors (TA-PSV), crosstalk test generation (ATPG), static timing analysis (STA), delay model |
15 | Murat R. Becer, Rajendran Panda, David T. Blaauw, Ibrahim N. Hajj |
Pre-route Noise Estimation in Deep Submicron Integrated Circuits. |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
Crosstalk noise estimation, pre-route, congestion |
15 | Yuanyuan Yang 0001, Jianchao Wang |
Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Networks. |
ICPP |
2002 |
DBLP DOI BibTeX RDF |
link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange |
15 | Lauren Hui Chen, Malgorzata Marek-Sadowska |
Aggressor alignment for worst-case coupling noise. |
ISPD |
2000 |
DBLP DOI BibTeX RDF |
aggressor alignment, interconnect coupling, signal integrity, crosstalk noise, timing window |
15 | Li-Rong Zheng 0001, Hannu Tenhunen |
Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal VLSI Circuits. |
ARVLSI |
1999 |
DBLP DOI BibTeX RDF |
Mixed-Signal VLSI, Interconnection, Crosstalk, Noise Margin |
15 | Kenneth L. Shepard, Vinod Narayanan |
Noise in deep submicron digital design. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
static analysis, noise, crosstalk, inductance, CMOS circuits, noise margins |
12 | Chen Wei, Xiaole Cui, Xiaoxin Cui |
Dy-MFNS-CAC: An Encoding Mechanism to Suppress the Crosstalk and Repair the Hard Faults in Rectangular TSV Arrays. |
IEEE Trans. Reliab. |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Chuanxin Teng, Xinjing Li, Rui Min, Shijie Deng, Zujun Qin, Libo Yuan, Xuehao Hu |
Low Crosstalk Plastic Optical Fiber-Based Dual-Parameter SPR Sensor With Stepped Side-Polished Structure and Differentiated Au-Film Thickness. |
IEEE Trans. Instrum. Meas. |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Pengfei Wang, Hongjuan Zhang, Pengwei Guo, Yu Wang 0048, Xin Liu, Yan Gao, Bao-quan Jin |
Partial Discharge Measurement for Power Cable Using Crosstalk-Free FDM-DAS. |
IEEE Trans. Instrum. Meas. |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Leilei Jin, Jiajie Xu, Wenjie Fu, Hao Yan, Longxing Shi |
A Crosstalk-Aware Timing Prediction Method in Routing. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Sovanmonynuth Heng, Myeongseong Go, Youngsun Han |
Estimating the Effect of Crosstalk Error on Circuit Fidelity Using Noisy Intermediate-Scale Quantum Devices. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Imran Ahmed, Roshan Kumar Rai, Mukulika Maity, Eiji Oki, Bijoy Chand Chatterjee |
AnalyticalBP: Analytical Model for Blocking Probabilities Considering Crosstalk-Avoided Approach in Spectrally-Spatially Elastic Optical Networks. |
IEEE Trans. Commun. |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Zemeng Chen, Xinliang Cao, Xianglin Li, Boan Pan, Pengbo Wang, Ting Li |
A Novel Approach to Evaluating Crosstalk for Near-Infrared Spectrometers. |
Sensors |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Mesut-Ömür Özden, Giuseppe Barbieri, Martina Gerken |
A Combined Magnetoelectric Sensor Array and MRI-Based Human Head Model for Biomagnetic FEM Simulation and Sensor Crosstalk Analysis. |
Sensors |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Liping Zhong, Hongzhi Wu, Yangyi Zhang, Xuxu Cheng, Weitao Wu, Catherine Wang, Xiongshi Luo, Taiyang Fan, Dongfan Xu, Quan Pan 0002 |
7.6 A 112Gb/s/pin Single-Ended Crosstalk-Cancellation Transceiver with 31dB Loss Compensation in 28nm CMOS. |
ISSCC |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Weitao Wu, Hongzhi Wu, Liping Zhong, Xuxu Cheng, Xiongshi Luo, Dongfan Xu, Catherine Wang, Zhenghao Li, Quan Pan 0002 |
13.5 A 64Gb/s/pin PAM4 Single-Ended Transmitter with a Merged Pre-Emphasis Capacitive-Peaking Crosstalk-Cancellation Scheme for Memory Interfaces in 28nm CMOS. |
ISSCC |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Soumen Bajpayee, Imon Mukherjee |
Analysis of the Effects of Crosstalk Errors on Various Quantum Circuits. |
VLSID |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Shubham Pande, Bhaswar Chakrabarti, Anjan Chakravorty |
Thermal Crosstalk Analysis in ReRAM Passive Crossbar Arrays. |
VLSID |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Tanusree Kaibartta, Hitarth Arora, Debesh Kumar Das |
Genetic Algorithm Based Efficient Grouping Technique for Post Bond Test and Crosstalk Faults Among TSVs. |
VLSID |
2024 |
DBLP DOI BibTeX RDF |
|
12 | Linshan Zhao, Hua Qian, Weifeng Feng, Mingqi Li, Kai Kang |
A Low-Complexity Digital Predistorter for Large-Scale MIMO Systems With Crosstalk. |
IEEE Trans. Veh. Technol. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Chengmin Li, Jing Sheng, Drazen Dujic |
Reliable Gate Driving of SiC MOSFETs With Crosstalk Voltage Elimination and Two-Step Short-Circuit Protection. |
IEEE Trans. Ind. Electron. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Qian Liu, Li Du, Yuan Du |
A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk Cancellation Coding Scheme for High-Density Interconnects. |
IEEE J. Solid State Circuits |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Xingjie Zhao, Yunkai Deng, Heng Zhang 0007, Xiuqing Liu |
A Channel Imbalance Calibration Scheme with Distributed Targets for Circular Quad-Polarization SAR with Reciprocal Crosstalk. |
Remote. Sens. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Shengyu Zhang, Kwan L. Yeung |
Revisiting the modulation format selection problem in crosstalk-aware SDM-EONs. |
Comput. Networks |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Kenta Takeda, Takehiro Sato, Bijoy Chand Chatterjee, Eiji Oki |
Lightpath provisioning model considering crosstalk-derived fragmentation in spectrally-spatially elastic optical networks. |
Comput. Networks |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Milad Mehri |
Analytic estimation of jitter and eye diagram based on transmission line time domain response considering skin effect and stochastic crosstalk. |
Integr. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Lívia M. De R. Raggi, Gennaro Boccia, Luca Mesin |
Reduction of Crosstalk in the Electromyogram: Experimental Validation of the Optimal Spatio-Temporal Filter. |
IEEE Access |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Donglin Xu, Ming Yang 0006, Kaiyuan Hu, Dianguo Xu 0001 |
A Transistor-Based Assist Gate Driver of SiC MOSFET for Crosstalk Suppression in a Phase-Leg Configuration. |
IEEE Access |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Ahmad Patooghy, Mehdi Elahi, Maral Filvan Torkaman, Sara Sezavar Dokhtfaroughi, Ramin Rajaei |
Addressing Benign and Malicious Crosstalk in Modern System-on-Chips. |
IEEE Access |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Sabiju Valiya Valappil, Johannes F. L. Goosen, Alejandro M. Aragón |
Phononic Crystals for Suppressing Crosstalk in Ultrasonic Flowmeters. |
IEEE Trans. Instrum. Meas. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Bolin Cai, Chenen Tong, Qiujie Wu, Xiangcheng Chen |
Crosstalk Suppression for Color Phase-Shifting Profilometry Based on Chord Distribution Equalization. |
IEEE Trans. Instrum. Meas. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Stephen P. Chapman, Estelle Duprez, Elisabeth Remy |
Logical modelling of myelofibrotic microenvironment predicts dysregulated progenitor stem cell crosstalk. |
Biosyst. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Honai Ueoka, Takehiro Sato, Eiji Oki |
Inter-Core Crosstalk-Aware Backup Network Design Model against Probabilistic Link Failures in Multi-Core Fiber Optical Path Network. |
IEICE Trans. Commun. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Ryosuke Murai, Masafumi Watanabe, Ryo Sudo |
Analysis of Inward Vascular Remodeling Focusing on Endothelial-Perivascular Crosstalk in a Microfluidic Device. |
J. Robotics Mechatronics |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Ali Rizik, Alessandro Battaglia, Frederic Tridon, Filippo Emilio Scarsi, Anton Kötsche, Heike Kalesse-Los, Maximilian Maahn, Anthony Illingworth |
Impact of Crosstalk on Reflectivity and Doppler Measurements for the WIVERN Polarization Diversity Doppler Radar. |
IEEE Trans. Geosci. Remote. Sens. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Wu Zhou, Mingsen Lin, Wei Li 0203, Xiaobin Yin, Yinan Li, Xi Li 0008, Qingxia Li, Shishuai Wang, Rui Yu |
HY-2B SMR's Sea Surface Temperature Retrieval Considering Parameter Crosstalk. |
IEEE Trans. Geosci. Remote. Sens. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Yong-Un Jeong, Sungphil Choi, Suhwan Kim, Joo-Hyung Chae |
Single-Ended Receiver-Side Crosstalk Cancellation With Independent Gain and Timing Control for Minimum Residual FEXT. |
IEEE Trans. Circuits Syst. I Regul. Pap. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Thakshanth Uthayakumar, Abubaker Abdelhafiz, Xianbin Wang 0001, Ming Jian |
Scalable Single-Input Behavioral Modeling Architecture for MIMO Systems With Crosstalk. |
IEEE Trans. Circuits Syst. I Regul. Pap. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Gain Kim |
Far-End Crosstalk Cancellation With MIMO OFDM for >200 Gb/s ADC-Based Serial Links. |
IEEE Trans. Circuits Syst. II Express Briefs |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Yuanwei Li, En Zhu, Hang Chen, Jiyong Tan, Li Shen 0007 |
Dense Crosstalk Feature Aggregation for Classification and Localization in Object Detection. |
IEEE Trans. Circuits Syst. Video Technol. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Yuqi Sheng, Jiashuo Wu, Xiangmei Li, Jiayue Qiu, Ji Li, Qinyu Ge, Liang Cheng 0006, Junwei Han |
iATMEcell: identification of abnormal tumor microenvironment cells to predict the clinical outcomes in cancer based on cell-cell crosstalk network. |
Briefings Bioinform. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Dayong Wu, Gang Lv, Quanyi Yu, Shengbao Yu |
Uncertainty Quantification of Crosstalk for MTLs in the Context of Industry 4.0 Based on Data-Driven Polynomial Chaos Expansion. |
IEEE Syst. J. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Xiaole Cui, Chen Wei, Xu Feng, Xiaoxin Cui |
Mosaic-3C1S: A Low Overhead Crosstalk Suppression Scheme for Rectangular TSV Array. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Andrew D. Loveless, Linh Thi Xuan Phan, Lisa Erickson, Ronald G. Dreslinski, Baris Kasikci |
CrossTalk: Making Low-Latency Fault Tolerance Cheap by Exploiting Redundant Networks. |
ACM Trans. Embed. Comput. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Prerana Samant, Naveen Kumar Macha, Mostafizur Rahman |
A Neoteric Approach for Logic with Embedded Memory Leveraging Crosstalk Computing. |
ACM J. Emerg. Technol. Comput. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Gurijala Deepthi, Madhavi Tatineni |
Crosstalk analysis of dielectric inserted side contact multilayer graphene nanoribbon interconnects for ternary logic system using unconditionally stable FDTD model. |
Microelectron. J. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Ganesh Prasad, Håkan Johansson |
A Novel Hybrid Post-Weighting Digital Predistortion in mMIMO Under Crosstalk. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Amin Shafiee, Sanmitra Banerjee, Krishnendu Chakrabarty, Sudeep Pasricha, Mahdi Nikdast |
Analysis of Optical Loss and Crosstalk Noise in MZI-based Coherent Photonic Neural Networks. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Shubham Pande, Bhaswar Chakrabarti, Anjan Chakravorty |
Thermal Crosstalk Analysis in RRAM Passive Crossbar Arrays. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Haijun Xia, Tony Wang, Aditya Gunturu, Peiling Jiang, William Duan, Xiaoshuo Yao |
CrossTalk: Intelligent Substrates for Language-Oriented Interaction in Video-Based Communication and Collaboration. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Yuya Seki, Yosuke Tanigawa, Yusuke Hirota, Hideki Tode |
Core and spectrum allocation to achieve graceful degradation of inter-core crosstalk with generalized hierarchical core prioritization on space-division multiplexing elastic optical networks. |
JOCN |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Muhammad Ajmal, Masood Ur Rehman 0003, B. G. Rodrigues |
Improved asymptotically optimal error correcting codes for avoidance crosstalk type-IV on-chip data buses. |
Comput. Appl. Math. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Zhijin Guan, Renjie Liu, Xueyun Cheng, Shiguang Feng, Pengcheng Zhu 0002 |
Suppression of Crosstalk in Quantum Circuit Based on Instruction Exchange Rules and Duration. |
Entropy |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Kaito Tomari, Jun Yoneda, Tetsuo Kodera |
Contact Pad Design Considerations for Semiconductor Qubit Devices for Reducing On-Chip Microwave Crosstalk. |
IEICE Trans. Electron. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Kota Shiba, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda |
Crosstalk Analysis and Countermeasures of High-Bandwidth 3D-Stacked Memory Using Multi-Hop Inductive Coupling Interface. |
IEICE Trans. Electron. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Bowen Chen, Yu Lei, Jingwen Hu, Ling Liu, Weike Ma, Jinbing Wu, Mingyi Gao, Weidong Shao, Pin-Han Ho |
Crosstalk-Sensitive Core and Spectrum Assignment in MCF-Based SDM-EONs. |
IEEE Trans. Commun. |
2023 |
DBLP DOI BibTeX RDF |
|
12 | Guiguo Ge, Xi Chen, Jinting Li, Danfang Zhang, Meng He, Wenzhang Wang, Yang Zhou, Jiaqi Zhong, Biao Tang, Jie Fang, Jin Wang, Mingsheng Zhan |
Accuracy Improvement of a Compact 85Rb Atom Gravimeter by Suppressing Laser Crosstalk and Light Shift. |
Sensors |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 2317 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|