The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DELTA"( http://dblp.L3S.de/Venues/DELTA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/delta

Publication years (Num. hits)
2002 (101) 2004 (78) 2006 (95) 2008 (124) 2010 (63) 2011 (44) 2020 (16) 2021 (27) 2022 (27) 2023 (32)
Publication types (Num. hits)
inproceedings(597) proceedings(10)
Venues (Conferences, Journals, ...)
DeLTA(607)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 580 occurrences of 446 keywords

Results
Found 607 publication records. Showing 607 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Masaki Hashizume, Tetsuo Akita, Hiroyuki Yotsuyanagi, Takeomi Tamesada CMOS Open Fault Detection by Appearance Time of Switching Supply Current. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Babak Rahbaran, Andreas Steininger, Thomas Handl Built-in Fault Injection in Hardware - The FIDYCO Example. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Soumendu Bhattacharya, Ganesh Srinivasan, Sasikumar Cherubal, Abhijit Chatterjee Test Time Reduction for ACPR Measurement of Wireless Transceivers Using Periodic Bit-Stream Sequences. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1U. Sabura Banu, G. Uma, M. A. Panneerselvam Artificial Controlled Neural Network Emulator for Quasi Resonant Converter. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Giovanni Agosta, Francesco Bruschi, Donatella Sciuto Synthesis of Dynamic Class Loading Specifications on Reconfigurable Hardware. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Scott Thomas, Rafic Z. Makki, Sai Kishore Vavilala Measurement and Analysis of Physical Defects for Dynamic Supply Current Testing. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Serge N. Demidenko, Rauf Kh. Sadykhov, Alexey N. Klimovich, Leonid P. Podenok, Maxim E. Vatkin Neural Networks to Solve the Problems of Control and Identification. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Christopher G. Pretty, J. Geoffrey Chase Reconfigurable DSP's for Efficient MPEG-4 Video and Audio Decoding. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multimedia, Video, Signal Processing, Audio, MPEG-4, DSP Architecture, Application Analysis
1Ad J. van de Goor, Ivo Schanstra Address and Data Scrambling: Causes and Impact on Memory Tests. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Address-scrambling, data-scrambling, fault models, memory tests, data backgrounds
1Leonardo L. Giovanini Multivariable Predictive Feedback Control. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF time delay compensation, feedback control, predictive control, decoupling control
1William Phipps, Ibrahim Al-Bahadly Sensorless Speed Control in Induction Motor Drives. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1H. L. Sng, Gourab Sen Gupta, Chris H. Messom Strategy for Collaboration in Robot Soccer. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Andrzej Rucinski 0002, Barbara Dziurla-Rucinska Boundary Scan as a Test Solution in Microelectronics Curricula. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF IEEE 1149.4 standard, Education, Boundary scan
1Parag K. Lala, K. K. Bondali On Biologically-Inspired Design of Fault-Tolerant Digital Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Rui Xiao, Chip-Hong Chang, Thambipillai Srikanthan On the Initialization and Training Methods for Kohonen Self-Organizing Feature Maps in Color Image Quantization. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Neural Networks, Self-Organizing Feature Maps, Color Quantization
1Piia Simonen, Ilkka Saastamoinen, Mika Kuulusa, Jari Nurmi Advanced Instruction Set Architectures for Reducing Program Memory Usage in a DSP Processor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction memory, memory compression, ISA, DSP processor
1Phillip E. Pascoe, Harsha R. Sirisena, Adnan H. Anbuky Coup De Fouet Based VRLA Battery Capacity Estimation. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coup de fouet, VRLA Battery, Standby power system, Capacity
1Ruly Lai-U Choi, Ross D. Murch MIMO Transmit Optimization for Wireless Communication Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jörg Velten, Anton Kummert FPGA-Based Implementation of Variable Sized Structuring Elements for 2D Binary Morphological Operations. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF industrial image processing, morphological operations
1R. M. Hodgson The Development and Transfer of Advanced Technology from Universities to Industry. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Technology Transer, image processing, Systems Engineering
1Monica Alderighi, Fabio Casini, Sergio D'Angelo, Davide Salvi, Giacomo R. Sechi A Fault-Tolerant FPGA-based Multi-Stage Interconnection Network for Space Applications. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault Tolerance, Field programmable Gate Arrays, Multistage Interconnection Network, Space Applications
1Wen-Yaw Chung, Mao-Hsiang Yeh, Jia-Chyi Chen, Shen-Kan Hsiung, Dorota G. Pijanowska, Wladyslaw Torbicz, Jung-Chuan Chou, Tai-Ping Sun Design of a Low-voltage Instrumentation Amplifier for Enzyme-Extended-Gate Field Effect Transistor Based Urea Sensor Application. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bulk-driven amplifier, enzyme, extended-gate field effect transistor, urea concentration, instrumentation amplifier
1Hans Hauser, Paul L. Fulmek, Felix A. Himmelstoss, Thomas M. Wolbank, Reinhard Wöhrnschimmel, Peter R. Wurm Magnetic Hysteresis Modeling of Electronic Components. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Mike W. T. Wong, K. Y. Ko, Yim-Shu Lee Analog and Mixed-Signal IP Cores Testing. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-signal design, analog circuit testing, SOCs
1Zhen Guo, Jacob Savir Observer-Based Test of Analog Linear Time-Invariant Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Gabriela Peretti, Eduardo Romero 0002, Franco Salvático, Carlos A. Marqués A Functional Approach to Test Cascaded BCD Counters. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF off-line built-in self-test, functional test, synchronous systems, digital testing
1Jörg E. Vollrath Signal Margin Analysis for Memory Sense Amplifiers . Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Signal margin, Test, Memory, Diagnosis, DRAM
1Raimund Ubar, Jaan Raik, Eero Ivask, Marina Brik Multi-Level Fault Simulation of Digital Systems on Decision Diagrams. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF register transfer and gate level descriptions, fault simulation, decision diagrams, Digital systems
1Hiroyuki Yotsuyanagi, Masaki Hashizume, Taisuke Iwakiri, Masahiro Ichimiya, Takeomi Tamesada Random Pattern Testability of the Open Defect Detection Method using Application of Time-variable Electric Field. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF supply current test, time-variable electric field, test pattern generation, CMOS IC, open defects
1Yong Liu 0023, Zhiqiang Gao, Xiangqing He A Flexible Embedded SRAM Compiler. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ilkka Saastamoinen, David A. Sigüenza-Tortosa, Jari Nurmi Interconnect IP Node for Future System-on-Chip Designs. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF System-on-Chip, reuse, on-chip communication, packet network
1Seiji Kajihara, Kenjiro Taniguchi, Irith Pomeranz, Sudhakar M. Reddy Test Data Compression Using Don't-Care Identification and Statistical Encoding. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Statistical Encoding, Don't Care Identification, Huffman's algorithm, Test Data Compression
1John L. Bähr Applied Science (Electronics) at the University of Otago. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Matthew Walker, Chris H. Messom A Comparison of Genetic Programming and Genetic Algorithms for Auto-tuning Mobile Robot Motion Control. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Chih-Wen Lu, Chung-Len Lee 0001 A Low Power High Speed Class-B Buffer Amplifier for Flat Panel Display Application. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF class-B output buffer, flat-panel display, low power
1Anna Antola, Mariagiovanna Sami, Vincenzo Piuri On-line Diagnosis and Reconfiguration of FPGA Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-line detection, fault tolerance, FPGA, reconfiguration, diagnosis
1Chandan Chakraborty, Subhas C. Mukhopadhyay A Novel Compound Type Resonant Rectifier Topology. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Peter J. Green, Desmond P. Taylor Smart Antenna Software Radio Test System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF space-time processing, algorithm testing, test system, real-time processing, smart antennas, software radio
1Syed Mahfuzul Aziz, S. J. Carr On C-Testability of Carry Free Dividers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Carry-free, C-Testability, Divider, Radix-2
1Yao Li, Paul Wilson PARTOS-11: an Efficient Real-Time Operating System for Low-Cost Microcontrollers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded microcontroller, slack sharing server, real-time operating system
1Kohei Miyase, Seiji Kajihara, Sudhakar M. Reddy A Method of Static Test Compaction Based on Don't Care Identification. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coloring Problem, Don't Care Identification, ATPG, Static Test Compaction
1Hideyuki Ichihara, Tomoo Inoue Generating Small Test Sets for Test Compression/Decompression Scheme Using Statistical Coding. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF statistical code, test generation, ATE, test compression, test compaction
1Zoorina Bee Kader Mastan, Azrul Ghazali, Muhammad Muhsin Idris Transmission of Data/Sketch through Telephone Lines using Gapping Technique via a Low Cost Telewriting Equipment . Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Telewriting equipment, Gapping technique, Talk-spurt pattern, Gap detector, Compression, Run Length Encoding
1Ibrahim H. Al-Bahadly Analysis of Position Estimation Method for Switched Reluctance Drives. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SR drives, position estimation
1Ton J. Mouthaan, R. W. Brink, Henk Vos Competencies of BSc and MSc Programmes in Electrical Engineering and Student Portfolios. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Meng-Lieh Sheu, Tai-Ping Sun, Far-Wen Jih Test Socket Chip for Measuring Dark Current in IR FPA. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Test Socket Chip, Infrared(IR), Focal Plane Array(FPA), Dark Current
1Vivek Gaur, Vishwani D. Agrawal, Michael L. Bushnell A New Transitive Closure Algorithm with Application to Redundancy Identification. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF logic redundancy, partial implications, transitive closure, Implication graph
1Yannick Bonhomme, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Test Power: a Big Issue in Large SOC Designs. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DfT, BIST, Scan, Low Power Testing, Test Power
1Jacob A. Abraham, Arun Krishnamachary, Raghuram S. Tupuri A Comprehensive Fault Model for Deep Submicron Digital Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Chie Dou, Shing-Jeh Jiang, Kuo-Cheng Leu A Novel CAM/RAM Based Buffer Manager for Next Generation IP Routers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CAM/RAM integration, buffer manager, content addressable memory, IP router
1Mirco Pieper, Anton Kummert Stand-alone Digital Real-Time Image Processing Board based on an FPGA. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Florent Carlier, Fabienne Nouvel, Jacques Citerne Multi-User Detection for CDMA Communications Based on Self Organized Neural Networks Structures. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GROWING GRID, KOHONEN, NEURAL NETWORK, CDMA, MULTI-USER DETECTION
1David Parker 0001 Computer Based Real-Time Simulator for Renewable Energy Converters. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Wind energy, Micro-hydro, Simulator, Real-time control, Renewable energy
1Saeid Sanei, Tracey Kah-Mein Lee An Architecture for High Speed Ultrasound Image Capture and Real-time 3D Reconstruction. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sourav Kundu, Kazuto Seto, Shigeru Sugino Genetic Algorithm Application to Vibration Control of Tall Flexible Structures. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1István Vajda Conceptual Design of an All Superconducting Mini Power Plant Model. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Yoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu Modifying Test Vectors for Reducing Power Dissipation in CMOS Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Test generation, Fault simulation, Power dissipation, CMOS circuit
1Gourab Sen Gupta, H. L. Sng, Chris H. Messom State Transition Based Supervisory Control for a Robot Soccer System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Shing Tenqchen, Ji-Horn Chang, Wu-Shiung Feng, Bor-Sheng Jeng Pipelining Extended Givens Rotation RLS Adaptive Filters. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ranjit Singh, Low Lee Ngo, Ho Soon Seng, Frederick Neo Chwee Mok A Silicon Piezoresistive Pressure Sensor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Victor Varshavsky, Vyacheslav Marakhovsky GALA Approach in Design of Asynchronous Control for Counterflow Pipeline Processor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GALA - Globally Asynchronous Locally Arbitrary, Counterflow Pipeline Processor, Synchronous Prototype, Arbitration, Asynchronous Design
1Jan Hlavicka, Petr Fiser Minimization and Partitioning Method Reducing Input Sets. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Boolean minimization, BOOM, load balancing, function partitioning
1Warwick Allen, Donald G. Bailey, Serge N. Demidenko, Vincenzo Piuri Test Chirp Signal Generation Using Spectral Warping. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Electronic testing, spectral warping, chirp signal generation, DSP
1Seung Hoon Choi, Kaushik Roy 0001 Noise Analysis under Capacitive and Inductive Coupling for High Speed Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Crosstalk, Inductance, Capacitance, Noise Analysis, Noise Margin, High Speed Circuit
1J. Geoffrey Chase, Christopher G. Pretty, Alex Bedarida, Philippe Bettler An Applications-Based Approach to Measuring DSP Efficiency. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Reconfigurable DSP, Signal Processing, DSP Architecture, Application Analysis
1Jamil Y. Khan, Joshua Wall, Mohammed A. Rashid Bluetooth-Based Wireless Personal Area Network for Multimedia Communication. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Kazuya Shimizu, Masaya Takamura, Takanori Shirai, Noriyoshi Itazaki, Kozo Kinoshita Fault Simulation Method for Crosstalk Faults in Clock-Delayed Domino CMOS Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Clock-delayed domino circuit, Fault simulation, crosstalk fault
1Donald G. Bailey, D. Irecki, B. K. Lim, L. Yang Test Bed for Number Plate Recognition Applications. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1César A. Piña Evolution Of The Mosis VLSI Educational Program. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1S. C. Mukhopadhyay 0001 Modeling of a Repulsive Type Magnetic Bearing for Five Axis Control Under Intermittent Operation Including Eddy Current Effect. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Adrian A. Dorrington, Rainer Künnemeyer A Simple Microcontroller Based Digital Lock-in Amplifier for the Detection of Low Level Optical Signals. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF digital lock-in amplifier, integrating input, optimised digital filter
1David V. Kerns, Sherra E. Kerns, Gill A. Pratt, Mark H. Somerville, Jill D. Crisman The Search for Design in Electrical Engineering Education. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Haifeng Zhou, Zhenghui Lin, Wei Cao Research on VHDL RTL Synthesis System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VHDL RTL synthesis, ambiguous grammar, language level optimization, inference, formal semantics, parser
1Manuel A. d'Abreu Noise-Its Sources, and Impact on Design and Test of Mixed Signal Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Dale A. Carnegie A Semi-Generic System for the Control of Autonomous Mobile Mechatrons. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF generic control, autonomous mobile robotics, Mechatronics, LabVIEW
1Irith Pomeranz, Sudhakar M. Reddy Properties of Output Sequences and their Use in Guiding Property-Based Test Generation for Synchronous Sequential Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Dominique Federici, Paul Bisgambiglia, Jean François Santucci Behavioral Fault Simulation: Implementation and Experiments Results. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1 1st IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2002), 29-31 January 2002, Christchurch, New Zealand Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  BibTeX  RDF
1Salim Ouadjaout, Marie-France Albenge, Dominique Houzet VSIA Interface Cosynthesis. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VSIA, SoC, Co-design, interface synthesis
1Syed Mahfuzul Aziz, C. N. Basheer, Joarder Kamruzzaman A Synthesisable VHDL Model for an Easily Testable Generalised Multiplier. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modified Booth, Generic, Synthesis, VHDL, Multiplier, C-Testable
1Yves Bertrand, Marie-Lise Flottes, Florence Azaïs, Serge Bernard, Laurent Latorre, Regis Lorival European Network for Test Education. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1William Chen, Simon D. Round, Richard Duke Design of an Auxiliary Power Distribution Network for an Electric Vehicle. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power supply, Cuk converter, electric vehicle
1Matthew Worsman, Mike W. T. Wong, Yim-Shu Lee Enhancing The Static D. C. Fault Diagnosis Of A Resistance Temperature Detector Sensor Circuit Using Equivalent Fault Analysis. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF equivalent faults, fault diagnosis, Analog testing
1Richard J. Blaikie, Maan M. Alkaisi, Steven M. Durbin, David R. S. Cumming Teaching Integrated Circuit and Semiconductor Device Design in New Zealand: The University of Canterbury Approach. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Semiconductor Devices, Education, Integrated Circuit
1Christophe Paoli, Marie-Laure Nivet, Jean François Santucci, Antoine Campana Path-Oriented Test Data Generation of Behavioral VHDL Description. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF High level design validation, simulation-based validation, software testing techniques, constraint logic programming language, VHDL
1Atsumu Iseno, Yukihiro Iguchi A Method for Storing Fail Bit Maps in Burn-in Memory Testers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Sébastien Mallier, Fabienne Nouvel, Jean-Yves Baudais, Daniel Gardan, Ahmed Zeddam Multi-Carrier CDMA over Copper Lines-Comparison of Performances with the ADSL System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DMT, equalization, MC-CDMA, ADSL
1Masaki Hashizume, Masashi Sato, Hiroyuki Yotsuyanagi, Takeomi Tamesada Power Supply Circuit for High Speed Operation of Adiabatic Dynamic CMOS Logic Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adiabatic logic circuit, power supply circuit, CMOS, dynamic circuit, low power circuit
1Ranjit Singh An Intelligent System for Odour Discrimination. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Wayne Moorhead, Serge N. Demidenko Making ATE Accessible for Academic Institutions. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Electronic ducation, hardware/software requirements, curriculum, test technology
1Ranganathan Sankaralingam, Nur A. Touba Reducing Test Power During Test Using Programmable Scan Chain Disable. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Janusz Sosnowski, K. Szafran Monitoring Parallel Interfaces in System Environment. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interface testing, transmission monitoring, parallel interfaces, IEEE1284
1Hans G. Kerkhoff, Arun A. Joseph, Sander Heuvelmans Testable Design and Testing of High-Speed Superconductor Microelectronics. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Marco Krips, Thomas Lammert, Anton Kummert FPGA Implementation of a Neural Network for a Real-Time Hand Tracking System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF artifical neural network, VLSI design, real-time image processing
1Paul Gaynor, Jonathan Skipwith A High Voltage Amplifier for use in Medical Applications of Electroporation. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF electroporation, electropermeabilization, high voltage amplifier, valves, vacuum tubes, AC pulses
1Roger F. Browne, Serge N. Demidenko, R. O'Driscoll Harnessing Geographically Distributed Cooperation in Microtechnology Course at Massey University. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Michel Renovell, Penelope Faure, Paolo Prinetto, Yervant Zorian Testing the Unidimensional Interconnect Architecture of Symmetrical SRAM-Based FPGA. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, Test
1Chandramouli Gopalakrishnan, Srinivas Katkoori Power Optimization of Combinational Circuits by Input Transformations. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Murray Pearson, Dean Armstrong, Tony McGregor Design of a Processor to Support the Teaching of Computer Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Tharek Abdul Rahman, Toh Chee Leng Adaptive Power Control Design for Microwave Communication System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 607 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license