The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPGA"( http://dblp.L3S.de/Venues/FPGA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1995 (25) 1996 (23) 1997 (24) 1998 (49) 1999 (57) 2000 (41) 2001 (25) 2002 (27) 2003 (53) 2004 (68) 2005 (65) 2006 (53) 2007 (27) 2008 (47) 2009 (65) 2010 (67) 2011 (62) 2012 (57) 2013 (71) 2014 (70) 2015 (84) 2016 (68) 2017 (63) 2018 (62) 2019 (95) 2020 (85) 2021 (51) 2022 (39) 2023 (51) 2024 (44)
Publication types (Num. hits)
inproceedings(1588) proceedings(30)
Venues (Conferences, Journals, ...)
FPGA(1618)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1086 occurrences of 496 keywords

Results
Found 1618 publication records. Showing 1618 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Safeen Huda, Jason Helge Anderson Towards PVT-Tolerant Glitch-Free Operation in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Girish Deshpande, Dinesh K. Bhatia An Activity Aware Placement Approach For 3D FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nikolaos Alachiotis 0001, Gabriel Weisz High Performance Linkage Disequilibrium: FPGAs Hold the Key. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Mingjie Lin Stochastic-Based Spin-Programmable Gate Array with Emerging MTJ Device Technology (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David M. Lewis, Gordon R. Chiu, Jeffrey Chromczak, David R. Galloway, Ben Gamsa, Valavan Manohararajah, Ian Milton, Tim Vanderhoek, John Van Dyken The Stratix™ 10 Highly Pipelined FPGA Architecture. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sabrina Zereen, Sundeep Lal, Mohammed A. S. Khalid, Sazzadur Chowdhury An FPGA-Based Controller for a 77 GHz MEMS Tri-Mode Automotive Radar (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xitong Gao, John Wickerson, George A. Constantinides Automatically Optimizing the Latency, Area, and Accuracy of C Programs for High-Level Synthesis. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Janarbek Matai, Dustin Richmond, Dajung Lee, Zac Blair, Qiongzhi Wu, Amin Abazari, Ryan Kastner Resolve: Generation of High-Performance Sorting Architectures from High-Level Synthesis. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hayden Kwok-Hay So, John Wawrzynek OLAF'16: Second International Workshop on Overlay Architectures for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhiyuan Yang 0001, Ankur Srivastava 0001 Physical Design of 3D FPGAs Embedded with Micro-channel-based Fluidic Cooling. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ronak Kogta, Suresh Purini, Ajit Mathew Re-targeting Optimization Sequences from Scalar Processors to FPGAs in HLS compilers (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sizhuo Zhang, Hari Angepat, Derek Chiou HGum: Messaging Framework for Hardware Accelerators (Abstact Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sayeh Sharifymoghaddam, Ali Sheikholeslami Low-Swing Signaling for FPGA Power Reduction (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jincheng Su, Fan Yang 0001, Xuan Zeng 0001, Dian Zhou Efficient Memory Partitioning for Parallel Data Access via Data Reuse. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1James J. Davis 0001, Eddie Hung, Joshua M. Levine, Edward A. Stott, Peter Y. K. Cheung, George A. Constantinides Knowledge is Power: Module-level Sensing for Runtime Optimisation (Abstact Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hsin-Jung Yang, Kermin Fleming, Michael Adler, Felix Winterstein, Joel S. Emer LMC: Automatic Resource-Aware Program-Optimized Memory Partitioning. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gregg Baeckler HyperPipelining of High-Speed Interface Logic. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stefan Visser, Harald Homulle, Edoardo Charbon A 1 GSa/s, Reconfigurable Soft-core FPGA ADC (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhen Yang, Jian Wang 0036, Meng Yang 0013, Jinmei Lai Testing FPGA Local Interconnects Based on Repeatable Configuration Modules (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shlomi Alkalay, Hari Angepat, Adrian M. Caulfield, Eric S. Chung, Oren Firestein, Michael Haselman, Stephen Heil, Kyle Holohan, Matt Humphrey, Tamás Juhász, Puneet Kaur, Sitaram Lanka, Daniel Lo, Todd Massengill, Kalin Ovtcharov, Michael Papamichael, Andrew Putnam, Raja Seera, Rimon Tadros, Jason Thong, Lisa Woods, Derek Chiou, Doug Burger Agile Co-Design for a Reconfigurable Datacenter. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cédric Marchand 0002, Lilian Bossuet, Abdelkarim Cherkaoui Enhanced TERO-PUF Implementations and Characterization on FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Que Yanghua, Chinnakkannu Adaikkala Raj, Harnhua Ng, Kirvy Teo, Nachiket Kapre Case for Design-Specific Machine Learning in Timing Closure of FPGA Designs. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Carl Ebeling, Dana How, David M. Lewis, Herman Schmit Stratix™ 10 High Performance Routable Clock Networks. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bo Peng, Tianqi Wang, Xi Jin 0002, Chuanjun Wang An FPGA-SOC Based Accelerating Solution for N-body Simulations in MOND (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Timothy A. Linscott, Benjamin Gojman, Raphael Rubin, André DeHon Pitfalls and Tradeoffs in Simultaneous, On-Chip FPGA Delay Measurement. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Henri Fraisse, Abhishek Joshi, Dinesh Gaitonde, Alireza Kaviani Boolean Satisfiability-Based Routing and Its Application to Xilinx UltraScale Clock Network. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Guohao Dai, Yuze Chi, Yu Wang 0002, Huazhong Yang FPGP: Graph Processing Framework on FPGA A Case Study of Breadth-First Search. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ze-ke Wang, Hui Yan Cheah, Johns Paul, Bingsheng He, Wei Zhang 0012 Accelerating Database Query Processing on OpenCL-based FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pankaj Shanker Spatial Debug & Debug Without Re-programming in FPGAs: On-Chip debugging in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xifan Tang, Pierre-Emmanuel Gaillardon, Giovanni De Micheli A Full-Capacity Local RoutingArchitecture for FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yunxuan Yu, Lei He 0001 FPGA Power Estimation Using Automatic Feature Selection (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daolu Zha, Xi Jin 0002, Tian Xiang An Improved Global Stereo-Matching on FPGA for Real-Time Applications (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nimish Agashiwala, Satya Prakash Upadhyay, Kia Bazargan t-QuadPlace: Timing Driven Quadratic Placement using Quadrisection Partitioning for FPGAs (Abstact Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nachiket Kapre, Deheng Ye GPU-Accelerated High-Level Synthesis for Bitwidth Optimization of FPGA Datapaths. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xinheng Liu, Yao Chen 0008, Tan Nguyen, Swathi T. Gurumani, Kyle Rupnow, Deming Chen High Level Synthesis of Complex Applications: An H.264 Video Decoder. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wenchao Qian, Christopher Babecki, Robert Karam, Swarup Bhunia ENFIRE: An Energy-efficient Fine-grained Spatio-temporal Reconfigurable Computing Fabric (Abstact Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jie Lei 0001, Yu-Ting Chen, Yunsong Li, Jason Cong A High-throughput Architecture for Lossless Decompression on FPGA Designed Using HLS (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Grace Zgheib, Manana Lortkipanidze, Muhsen Owaida, David Novo, Paolo Ienne FPRESSO: Enabling Express Transistor-Level Exploration of FPGA Architectures. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tianqi Wang, Bo Peng, Xi Jin 0002 an Extensible Heterogeneous Multi-FPGA Framework for Accelerating N-body Simulation (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tan Nguyen, Swathi T. Gurumani, Kyle Rupnow, Deming Chen FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDA-to-FPGA Compiler. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gabriel Weisz, Joseph Melber, Yu Wang 0110, Kermin Fleming, Eriko Nurvitadhi, James C. Hoe A Study of Pointer-Chasing Performance on Shared-Memory Processor-FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1François Serre, Thomas Holenstein, Markus Püschel Optimal Circuits for Streamed Linear Permutations Using RAM. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammed Shaaban Ibraheem, Syed Zahid Ahmed, Khalil Hachicha, Sylvain Hochberg, Patrick Garda A Low DDR Bandwidth 100FPS 1080p Video 2D Discrete Wavelet Transform Implementation on FPGA (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tuan D. A. Nguyen, Akash Kumar 0001 PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammed Al Kadi, Benedikt Janßen, Michael Hübner 0001 FGPU: An SIMT-Architecture for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tayo Oguntebi, Kunle Olukotun GraphOps: A Dataflow Library for Graph Analytics Acceleration. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sen Ma, Zeyad Aklah, David Andrews 0001 Just In Time Assembly of Accelerators. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Naveen Suda, Vikas Chandra, Ganesh Dasika, Abinash Mohanty, Yufei Ma 0002, Sarma B. K. Vrudhula, Jae-sun Seo, Yu Cao 0001 Throughput-Optimized OpenCL-based FPGA Accelerator for Large-Scale Convolutional Neural Networks. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Michael J. Wirthlin, Andrew M. Keller, Chase McCloskey, Parker Ridd, David S. Lee, Jeffrey Draper SEU Mitigation and Validation of the LEON3 Soft Processor Using Triple Modular Redundancy for Space Processing. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David Boland Reducing Memory Requirements for High-Performance and Numerically Stable Gaussian Elimination. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Li Ting, Harri Wijaya, Nachiket Kapre Machine-Learning driven Auto-Tuning of High-Level Synthesis for FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammed Alawad, Mingjie Lin Stochastic-Based Convolutional Networks with Reconfigurable Logic Fabric (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jing Ye 0001, Yu Hu 0001, Xiaowei Li 0001 DCPUF: Placement and Routing Constraint based Dynamically Configured Physical Unclonable Function on FPGA (Abstact Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu-Ting Chen, Jason Cong, Zhenman Fang, Peipei Zhou 0001 ARAPrototyper: Enabling Rapid Prototyping and Evaluation for Accelerator-Rich Architecture (Abstact Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ehsan Ghasemi, Paul Chow A Scalable Heterogeneous Dataflow Architecture For Big Data Analytics Using FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shih-Hao Hung, Min-Yu Tsai, Bo-Yi Huang, Chia-Heng Tu A Platform-Oblivious Approach for Heterogeneous Computing: A Case Study with Monte Carlo-based Simulation for Medical Applications. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Deming Chen, Jonathan W. Greene (eds.) Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 21-23, 2016 Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nadesh Ramanathan, John Wickerson, Felix Winterstein, George A. Constantinides A Case for Work-stealing on FPGAs with OpenCL Atomics. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ralf Salomon, Ralf Joost, Matthias Hinkfoth Platform-Independent Gigabit Communication for Low-Cost FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joshua S. Monson, Brad L. Hutchings Using Source-Level Transformations to Improve High-Level Synthesis Debug and Validation on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Eric Matthews, Nicholas C. Doyle, Lesley Shannon Design Space Exploration of L1 Data Caches for FPGA-Based Multiprocessor Systems. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Elias Vansteenkiste, Berg Severens, Dirk Stroobandt Logic Gates in the routing network of FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Leibo Liu, Yingjie Victor Chen, Dong Wang 0040, Min Zhu 0001, Shouyi Yin, Shaojun Wei A Mixed-Grained Reconfigurable Computing Platform for Multiple-Standard Video Decoding (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1James Chacko, Cem Sahin, Douglas Pfiel, Nagarajan Kandasamy, Kapil R. Dandekar Rapid Prototyping of Wireless Physical Layer Modules Using Flexible Software/Hardware Design Flow. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michaela E. Amoo, Youngsoo Kim, Vance Alford, Shrikant Jadhav, Naser I. El-Bathy, Clay S. Gloster Jr. An Automated Design Framework for Floating Point Scientific Algorithms using Field Programmable Gate Arrays (FPGAs) (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Eddie Hung, Joshua M. Levine, Edward A. Stott, George A. Constantinides, Wayne Luk Delay-Bounded Routing for Shadow Registers. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jie Wang 0022, Jason Cong Customizable and High Performance Matrix Multiplication Kernel on FPGA (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chen Chang The BEEcube Story: Lessons Learned from Running a FPGA Startup for the Past 7 Years. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Youngsoo Kim, William Harding, Clay S. Gloster Jr., Winser E. Alexander Acceleration of Synthetic Aperture Radar (SAR) Algorithms using Field Programmable Gate Arrays (FPGAs) (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohamed S. Abdelfattah, Andrew Bitar, Vaughn Betz Take the Highway: Design for Embedded NoCs on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Paulo Matias, Rafael Tuma Guariento, Lírio Onofre Baptista de Almeida, Jan Frans Willem Slaets Low-Resource Bluespec Design of a Modular Acquisition and Stimulation System for Neuroscience (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xinyu Niu, Wayne Luk, Yu Wang 0002 EURECA: On-Chip Configuration Generation for Effective Dynamic Data Access. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Siddhartha 0001, Nachiket Kapre FPGA Acceleration of Irregular Iterative Computations using Criticality-Aware Dataflow Optimizations (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fernando Martinez-Vallina, Henry Styles Unlocking FPGAs Using High Level Synthesis Compiler Technologies. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shant Chandrakar, Dinesh Gaitonde, Trevor Bauer Enhancements in UltraScale CLB Architecture. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Keerthan Jaic, Melissa C. Smith Enhancing Hardware Design Flows with MyHDL. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hichem Ben Fekih, Ahmed Elhossini, Ben H. H. Juurlink An Efficient and Flexible FPGA Implementation of a Face Detection System (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Deshanand P. Singh, Bogdan Pasca 0001, Tomasz S. Czajkowski High-Level Design Tools for Floating Point FPGAs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Felix Winterstein, Kermin Fleming, Hsin-Jung Yang, Samuel Bayliss, George A. Constantinides MATCHUP: Memory Abstractions for Heap Manipulating Programs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alex Rodionov, David Biancolin, Jonathan Rose Fine-Grained Interconnect Synthesis. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gregory Ford, Aswin Krishna, Jacob A. Abraham, Daniel G. Saab Formal Verification ATPG Search Engine Emulator (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shao Lin S. T. Tang, Guy Lemieux Area Optimization of Arithmetic Units by Component Sharing for FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Evan Wegley, Qinhai Zhang Application of Specific Delay Window Routing for Timing Optimization in FPGA Designs. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amir Momeni, Hamed Tabkhi, Gunar Schirner, David R. Kaeli Bridging Architecture and Programming for Throughput-Oriented Vision Processing (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1John Lockwood, Michael Adler, Dan Mansur, Derek Chiou, Mike Strickland, Jason Cong, Steve Teig Growing a Healthy FPGA Ecosystem. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Navid Rahmanikia, Amirali Amiri, Hamid Noori, Farhad Mehdipour Exploring Efficiency of Ring Oscillator-Based Temperature Sensor Networks on FPGAs (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Martinianos Papadopoulos, Christos Ttofis, Christos Kyrkou, Theocharis Theocharides Real-Time Obstacle Avoidance for Mobile Robots via Stereoscopic Vision Using Reconfigurable Hardware (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Stuart Byma, Naif Tarafdar, Talia Xu, Hadi Bannazadeh, Alberto Leon-Garcia, Paul Chow Expanding OpenFlow Capabilities with Virtualized Reconfigurable Hardware. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Junbin Wang, Leibo Liu, Jianfeng Zhu 0001, Shouyi Yin, Shaojun Wei A Novel Composite Method to Accelerate Control Flow on Reconfigurable Architecture (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wei Wu, Peng Gu, Yen-Lung Chen, Chien-Nan Liu, Sudhakar Pamarti, Chang Wu, Lei He 0001 Toward Wave Digital Filter based Analog Circuit Emulation on FPGA (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhuo Qian, Martin Margala A Novel Coefficient Address Generation Algorithm for Split-Radix FFT (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pierre-Emmanuel Gaillardon, Gain Kim, Xifan Tang, Luca Gaetano Amarù, Giovanni De Micheli Towards More Efficient Logic Blocks By Exploiting Biconditional Expansion (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Mingjie Lin Energy-Efficient Discrete Signal Processing with Field Programmable Analog Arrays (FPAAs). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nasibeh Nasiri, Oren Segal, Martin Margala, Wim Vanderbauwhede, Sai Rahul Chalamalasetti High Level Programming of Document Classification Systems for Heterogeneous Environments using OpenCL (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dmitry Burlyaev, Pascal Fradet, Alain Girault Automatic Time-Redundancy Transformation for Fault-Tolerant Circuits. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hui Yan Cheah, Suhaib A. Fahmy, Nachiket Kapre On Data Forwarding in Deeply Pipelined Soft Processors. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xitong Gao, George A. Constantinides Numerical Program Optimization for High-Level Synthesis. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nachiket Kapre, Harnhua Ng, Kirvy Teo, Jaco Naude InTime: A Machine Learning Approach for Efficient Selection of FPGA CAD Tool Parameters. Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chen Yang 0005, Leibo Liu, Shouyi Yin, Shaojun Wei Cost-Effective Memory Architecture to Achieve Flexible Configuration and Efficient Data Transmission for Coarse-Grained Reconfigurable Array (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lei Chen 0010, Yuanfu Zhao, Zhiping Wen 0001, Jing Zhou, Xuewu Li, Yanlong Zhang, Huabo Sun 300 Thousand Gates Single Event Effect Hardened SRAM-based FPGA for Space Application (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1618 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license