The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for HDL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1992 (15) 1993-1995 (21) 1996-1997 (34) 1998 (22) 1999 (30) 2000 (41) 2001 (23) 2002 (30) 2003 (44) 2004 (39) 2005 (53) 2006 (57) 2007 (50) 2008 (40) 2009 (21) 2010 (24) 2011-2012 (24) 2013 (15) 2014-2015 (20) 2016-2017 (24) 2018-2019 (23) 2020-2021 (25) 2022 (18) 2023-2024 (16)
Publication types (Num. hits)
article(113) book(2) incollection(3) inproceedings(586) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 429 occurrences of 291 keywords

Results
Found 709 publication records. Showing 709 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Sanggyu Park, Sang-yong Yoon, Soo-Ik Chae A Mixed-Level Virtual Prototyping Environment for Refinement-Based Design Environment. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hadi Esmaeilzadeh, A. Moghimi, Eiman Ebrahimi, Caro Lucas, Zainalabedin Navabi, A. M. Fakhraie DCim++: a C++ library for object oriented hardware design and distributed simulation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Pawel Garstecki, Adam Luczak, Marta Stepniewska A bit-serial implementation of mode decision algorithm for AVC encoders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wei Wang 0252, I-Chyn Wey, Chia-Tsun Wu, An-Yeu Wu A portable all-digital pulsewidth control loop for SOC applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kimo Kim, In-Cheol Park Combined image signal processing for CMOS image sensors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tim Schattkowsky, Jan Hendrik Hausmann, Gregor Engels Using UML Activities for System-on-Chip Design and Synthesis. Search on Bibsonomy MoDELS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mustafa Parlak, Ilker Hamzaoglu An Efficient Hardware Architecture for H.264 Adaptive Deblocking Filter. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Stefanos Skoulaxinos SW-HW Co-design and Fault Tolerant Implementation for the LRID Wireless Communication System. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Namrata Shekhar, Priyank Kalla, M. Brandon Meredith, Florian Enescu Simulation Bounds for Equivalence Verification of Arithmetic Datapaths with Finite Word-Length Operands. Search on Bibsonomy FMCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Robin J. Bruce, Richard Chamberlain, Malachy Devlin, Stephen Marshall Poster reception - Implementing algorithms on FPGAs using high-level languages and low-level libraries. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Junhao Zheng, Di Wu 0022, Lei Deng 0007, Don Xie, Wen Gao 0001 A Motion Vector Predictor Architecture for AVS and MPEG-2 HDTV Decoder. Search on Bibsonomy PCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Motion vector prediction, MPEG, Motion compensation, VLSI architecture, AVS
10Chung-Ho Chen, Yi-Cheng Chung, Chen-Hua Wang, Han-Chiang Chen Design of a Giga-bit Hardware Accelerator for the iSCSI Initiator. Search on Bibsonomy LCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Duo Sheng, Ching-Che Chung, Chen-Yi Lee A Fast-Lock-In ADPLL with High-Resolution and Low-Power DCO for SoC Applications. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen Efficient Pass-Transistor-Logic Synthesis for Sequential Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wonwoo Jang, Hyunsik Kim, Sungmok Lee, Jooyoung Ha, Bongsoon Kang Implementation of the Gamma Line System Similar to Non-linear Gamma Curve with 2bit Error(LSB). Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Xiaoying Li, Fuming Sun, Enhua Wu A Simulink-to-FPGA Co-Design of Encryption Module. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yu-Chin Hsu, Fur-Shing Tsai, Wells Jong, Ying-Tsai Chang Visibility enhancement for silicon debug. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon validation, functional verification, silicon debug
10Insu Song, Guido Governatori Designing agent chips. Search on Bibsonomy AAMAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF agent chips, agent architecture, agent programming languages
10Wei Lu, Xiu-Tao Yang, Tao Lv 0001, Xiaowei Li 0001 An Efficient Evaluation and Vector Generation Method for Observability-Enhanced Statement Coverage. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, observability, design verification, coverage metrics, vector generation
10Jung L. Lee, Myung Hoon Sunwoo Implementation of a Wireless Multimedia DSP Chip for Mobile Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, DSP, instruction
10Guillermo Payá Vayá, Javier Martín-Langerwerf, Peter Pirsch RAPANUI: Rapid Prototyping for Media Processor Architecture Exploration. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Shuqing Zhao, Daniel D. Gajski Structural operational semantics for supporting multi-cycle operations in RTL HDLs. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Nirav Dave, Man Cheuk Ng, Arvind Automatic synthesis of cache-coherence protocol processors using Bluespec. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Bo Yang 0010, Nikhil Joshi, Ramesh Karri A constant array multiplier core generator with dynamic partial evaluation architecture selection (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yujia Jin, William Plishker, Kaushik Ravindran, Nadathur Satish, Kurt Keutzer Soft multiprocessor systems for network applications (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Shuqing Zhao, Daniel D. Gajski Defining an Enhanced RTL Semantics. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Christian Jacobi 0002, Kai Weber 0001, Viresh Paruthi, Jason Baumgartner Automatic Formal Verification of Fused-Multiply-Add FPUs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Sandro V. Silva, Sergio Bampi Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Franco Fummi, Mirko Loghi, Stefano Martini, Marco Monguzzi, Giovanni Perbellini, Massimo Poncino Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Nicolas Genko, David Atienza, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida, Francky Catthoor A Complete Network-On-Chip Emulation Framework. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Cyrus Bazeghi, Francisco J. Mesa-Martinez, Jose Renau uComplexity: Estimating Processor Design Effort. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Saranyan A. Vigraham, John C. Gallagher A space saving digital VLSI evolutionary engine for CTRNN-EH devices. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Norihiro Fujii, Nobuhiko Koike A New Remote Laboratory for Hardware Experiment with Shared Resources and Service Management. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hardware Experiment, Shared-Lab, Web services, Distance learning, Remote laboratory, shared service
10Rajeev Madhavan Silicon compilation: the answer to reducing IC development costs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jaehwan John Lee, Vincent John Mooney III A novel O(n) parallel banker's algorithm for System-on-a-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Subramanian K. Iyer, Jawahar Jain, Debashis Sahoo, Takeshi Shimizu Verification of Industrial Designs Using A Computing Grid With More than 100 Nodes. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amir Hekmatpour, Azadeh Salehi Block-based Schema-driven Assertion Generation for Functional Verification. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Mukesh Chugh, Dinesh Bhatia, Poras T. Balsara Design and Implementation of Configurable W-CDMA Rake Receiver Architectures on FPGA. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10John A. Nestor Teaching Computer Organization with HDLs: An Incremental Approach. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Wayne P. Burleson, Sheng Xu Digital Systems Design with ASIC and FPGA: A Novel Course Using CD/DVD and On-Line Formats. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hugo Hedberg, Joachim Neves Rodrigues, Fredrik Kristensen, Henrik Svensson, Matthias Kamuf, Viktor Öwall Teaching Digital ASIC Design to Students with Heterogeneous Previous Knowledge. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Michael A. Shanblatt, Brian Foulds A Simulink-to-FPGA Implementation Tool for Enhanced Design Flow. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Johannes Grad, James E. Stine, David D. Neiman Real World SOC Experience for the Classroom. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Mathias Halbach, Rolf Hoffmann Optimal Behavior of a Moving Creature in the Cellular Automata Model. Search on Bibsonomy PaCT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Stephen A. Edwards, Olivier Tardieu SHIM: a deterministic model for heterogeneous embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deterministic model of computation, hardware/software codesign, software synthesis, hardware synthesis
10Gyu-Sung Yeon, Chi-Hun Jun, Tae-Jin Hwang, Seongsoo Lee, Jae-Kyung Wee Low-Power MPEG-4 Motion Estimator Design for Deep Sub-Micron Multimedia SoC. Search on Bibsonomy KES (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Nikolaos Kostaras, Haridimos T. Vergos KoVer: A Sophisticated Residue Arithmetic Core Generator. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Etienne Bergeron, Xavier Saint-Mleux, Marc Feeley, Jean-Pierre David High Level Synthesis for Data-Driven Applications. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Leandro Soares Indrusiak, Romualdo Begale Prudencio, Manfred Glesner Modeling and Prototyping of Communication Systems Using Java: A Case Study. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hua Li, Jianzhou Li A High Performance Sub-Pipelined Architecture for AES. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sub-pipelined architecture, FPGA, cryptography, AES
10Tadashi Saito, Moto Maeda, Tetsuo Hironaka, Kazuya Tanigawa, Tetsuya Sueyoshi, Ken-ichi Aoyama, Tetsushi Koide, Hans Jürgen Mattausch Design of superscalar processor with multi-bank register file. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yasuhiro Takahashi, Michio Yokoyama New cost-effective VLSI implementation of multiplierless FIR filter using common subexpression elimination. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Shen-Fu Hsiao, Ming-Yu Tsai, Ming-Chih Chen, Chia-Sheng Wen An efficient pass-transistor-logic synthesizer using multiplexers and inverters only. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Nicolas Genko, David Atienza, Giovanni De Micheli, Luca Benini, Jose Manuel Mendias, Román Hermida, Francky Catthoor A novel approach for network on chip emulation. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Donghyun Kim, Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo A reconfigurable crossbar switch with adaptive bandwidth control for networks-on-chip. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ping Dong, Xiangdong Shi, Jiehui Yang Design of a New Kind of Encryption Kernel Based on RSA Algorithm. Search on Bibsonomy CIS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ivan Blunno, Luciano Lavagno Designing an asynchronous microcontroller using Pipefitter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Byung Cheol Song, Kang Wook Chun Multi-resolution block matching algorithm and its VLSI architecture for fast motion estimation in an MPEG-2 video encoder. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Bin Sheng, Wen Gao 0001, Di Wu 0022 An implemented architecture of deblocking filter for H.264/AVC. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Zhi Guo, Betul Buyukkurt, Walid A. Najjar Input data reuse in compiling window operations onto reconfigurable hardware. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF reuse analysis, compilation, high-level synthesis, VHDL, reconfigurable computing
10Daniel Große, Rolf Drechsler Checkers for SystemC designs. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Andreas Wortmann 0002, Sven Simon 0001, Matthias Müller 0002 A High-Speed Transceiver Architecture Implementable as Synthesizable IP Core. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Mikael Millberg, Erland Nilsson, Rikard Thid, Axel Jantsch Guaranteed Bandwidth Using Looped Containers in Temporally Disjoint Networks within the Nostrum Network on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Doris Ching, Patrick Schaumont, Ingrid Verbauwhede Integrated Modeling and Generation of a Reconfigurable Network-on-Chip. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Shinya Honda, Takayuki Wakabayashi, Hiroyuki Tomiyama, Hiroaki Takada RTOS-centric hardware/software cosimulator for embedded system design. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded Systems, RTOS, cosimulation
10Young-Il Kim, Chong-Min Kyung Automatic translation of behavioral testbench for fully accelerated simulation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jun Wang, Carl Tropper Nicarus: A Distributed Verilog Compiler. Search on Bibsonomy ICPP Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kazuya Ishida, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 Design and Verification of Parallel Multipliers Using Arithmetic Description Language: ARITH. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jan Borgosz, Boguslaw Cyganek Proposal of the Programming Rules for VHDL Designs. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Roland E. Wunderlich, James C. Hoe In-System FPGA Prototyping of an Itanium Microarchitecture. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ammar Aljer, Philippe Devienne Co-Design and Refinement for Safety Critical Systems. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Siddika Berna Örs, Frank K. Gürkaynak, Elisabeth Oswald, Bart Preneel Power-Analysis Attack on an ASIC AES implementation. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AES, power analysis attack
10Ole Blaurock A SystemC-Based Modular Design and Verification Framework for C-Model Reuse in a HW/SW-Co-Design Flow. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10T. Salim, John C. Devlin, Jim Whittington Analog Conversion for FPGA Implementation of the TIGER Transmitter using a 14 bit DAC. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Vijay D'Silva, S. Ramesh 0001, Arcot Sowmya Bridge Over Troubled Wrappers: Automated Interface Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Tun Li, Yang Guo 0003, Sikun Li Design and Implementation of a Parallel Verilog Simulator: PVSim. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Hoonmo Yang, Moonkey Lee Design of a Cycle-Accurate User-Retargetable Instruction-Set Simulator Using Process-Based Scheduling Scheme. Search on Bibsonomy CIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Xizhi Li, Tiecai Li ECOMIPS: An Economic MIPS CPU Design on FPGA. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Young-Su Kwon, Young-Il Kim, Chong-Min Kyung Systematic functional coverage metric synthesis from hierarchical temporal event relation graph. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF semi-formal verification, temporal event, functional coverage
10Adrian J. Hilton, Jon G. Hall High-Integrity Interfacing to Programmable Logic with Ada. Search on Bibsonomy Ada-Europe The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Gaye Lightbody, Roger F. Woods, Richard L. Walke Design of a parameterizable silicon intellectual property core for QR-based RLS filtering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jen-Shiun Chiang, Min-Shiou Tsai A Radix-4 New Svobota-Tung Divider with Constant Timing Complexity for Prescaling. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floating-point division, new Svoboda-Tung division, radix-4, Svoboda-Tung division, computer arithmetic, prescaling, signed digit number system
10Jae Sung Lee, Myung Hoon Sunwoo Design of New DSP Instructions and Their Hardware Architecture for High-Speed FFT. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF application specific digital signal processor, DMT, fast Fourier transform, OFDM
10Raul Baños, Consolación Gil, Maria Dolores Gil Montoya, Julio Ortega Lopera A Parallel Evolutionary Algorithm for Circuit Partitioning. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Paul Flugger RTL-Based Signal Statistics Calculation Facilitates Low Power Design Approaches. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Hamid R. Zarandi, Seyed Ghassem Miremadi, Ali Reza Ejlali Fault Injection into Verilog Models for Dependability Evaluation of Digital Systems. Search on Bibsonomy ISPDC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Eduardo Ros 0001, Rodrigo Agís, Richard R. Carrillo, Eva M. Ortigosa Post-synaptic Time-Dependent Conductances in Spiking Neurons: FPGA Implementation of a Flexible Cell Model. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ashraf Salem Formal Semantics of Synchronous SystemC. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Petros Oikonomakos, Mark Zwolinski, Bashir M. Al-Hashimi Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rafael Castro-López, Francisco V. Fernández 0001, Fernando Medeiro, Ángel Rodríguez-Vázquez Behavioural Modelling and Simulation of SigmaDelta Modulators Using Hardware Description Languages. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tun Li, Yang Guo 0003, Sikun Li An Automatic Circuit Extractor for RTL Verification. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001 An Efficient Observability Evaluation Algorithm Based on Factored Use-Def Chains. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Miroslav Lícko, Jan Schier, Milan Tichý, Markus Kühl MATLAB/Simulink Based Methodology for Rapid-FPGA-Prototyping. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Amir K. Daneshbeh, M. Anwarul Hasan A Unidirectional Bit Serial Systolic Architecture for Double-Basis Division over GF(2m). Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Zdenek Pohl, Jan Schier, Miroslav Lícko, Antonin Hermanek, Milan Tichý, Rudolf Matousek, Jiri Kadlec Logarithmic Arithmetic for Real Data Types and Support for Matlab/Simulink Based Rapid-FPGA-Prototyping. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Volnei A. Pedroni Teaching Design-Oriented VHDL. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Michael J. C. Gordon, Joe Hurd, Konrad Slind Executing the Formal Semantics of the Accellera Property Specification Language by Mechanised Theorem Proving. Search on Bibsonomy CHARME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Won Jay Song, Won Hee Kim, Bo Gwan Kim, Byung-Ha Ahn, Mun Kee Choi, Minho Kang Smart Card Terminal Systems Using ISO/IEC 7816-3 Interface and 8051 Microprocessor Based on the System-on-Chip. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Won Jay Song, Won Hee Kim, Bo Gwan Kim, Byung-Ha Ahn, Mun Kee Choi, Minho Kang Conditional Access Module Systems for Digital Contents Protection Based on Hybrid/Fiber/Coax CATV Networks. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Gérard Berry, Michael Kishinevsky, Satnam Singh System Level Design and Verification Using a Synchronous Language. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 709 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license