The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Hsiang-Yun Cheng, Matthew Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie 0001 EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pilsoon Choi, Jason H. Gao 0001, Nadesh Ramanathan, Mengda Mao, Shipeng Xu, Chirn Chye Boon, Suhaib A. Fahmy, Li-Shiuan Peh A case for leveraging 802.11p for direct phone-to-phone communications. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0044, Hang Zhang 0031, John C. Lach Adaptive front-end throttling for superscalar processors. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Siyu Yue, Lizhong Chen, Di Zhu 0002, Timothy Mark Pinkston, Massoud Pedram Smart butterfly: reducing static power dissipation of network-on-chip with core-state-awareness. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jue Wang, Xiangyu Dong, Yuan Xie 0001 Enabling high-performance LPDDRx-compatible MRAM. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaemin Kim, Yanzhi Wang, Massoud Pedram, Naehyuck Chang Fast photovoltaic array reconfiguration for partial solar powered vehicles. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gai Liu, Ye Tao, Mingxing Tan, Zhiru Zhang CASA: correlation-aware speculative adders. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sara Vinco, Alessandro Sassone, Franco Fummi, Enrico Macii, Massimo Poncino An open-source framework for formal specification and simulation of electrical energy systems. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Reza Azimi, Xin Zhan, Sherief Reda Thermal-aware layout planning for heterogeneous datacenters. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shreepad A. Panth, Kambiz Samadi, Yang Du 0001, Sung Kyu Lim Design and CAD methodologies for low power gate-level monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Trang Le Dinh Dang, Ik Joon Chang, Jinsang Kim a-SAD: power efficient SAD calculator for real time H.264 video encoder using MSB-approximation technique. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sehwan Kim, Minseok Lee, Pai H. Chou Energy harvesting from anti-corrosion power sources. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chenchen Fu, Mengying Zhao, Chun Jason Xue, Alex Orailoglu Sleep-aware variable partitioning for energy-efficient hybrid PRAM and DRAM main memory. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Donghwa Shin, Alessandro Sassone, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino A compact macromodel for the charge phase of a battery with typical charging protocol. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kannan A. Sankaragomathi, William Anthony Smith, Brian P. Otis, Visvesh S. Sathe 0001 A deterministic-dither-based, all-digital system for on-chippower supply noise measurement. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1John Sartori, Rakesh Kumar 0002 Software canaries: software-based path delay fault testing for variation-aware energy-efficient design. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karim Arabi Low power design techniques in mobile processes. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Palomino 0001, Muhammad Shafique 0001, Altamiro Amadeu Susin, Jörg Henkel TONE: adaptive temperature optimization for the next generation video encoders. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Reef Eilers, Malte Metzdorf, Domenik Helms, Wolfgang Nebel Efficient NBTI modeling technique considering recovery effects. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Woojoo Lee, Yanzhi Wang, Tiansong Cui, Shahin Nazarian, Massoud Pedram Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qing Xie 0001, Mohammad Javad Dousti, Massoud Pedram Therminator: a thermal simulator for smartphones producing accurate chip and skin temperature maps. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jingwen Leng, Yazhou Zu, Minsoo Rhu, Meeta Sharma Gupta, Vijay Janapa Reddi GPUVolt: modeling and characterizing voltage noise in GPU architectures. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Zhang 0007, Guangyu Sun 0003, Peng Li 0031, Tao Wang 0004, Dimin Niu, Yiran Chen 0001 SBAC: a statistics based cache bypassing method for asymmetric-access caches. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuan Xie 0001, Tanay Karnik, Muhammad M. Khellah, Renu Mehra (eds.) International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014 Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  BibTeX  RDF
1Zhong Zheng, Zhiying Wang 0003, Mikko H. Lipasti Tag check elision. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tiantao Lu, Ankur Srivastava 0001 Gated low-power clock tree synthesis for 3D-ICs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peter Beshay, Vikas Chandra, Rob Aitken, Benton H. Calhoun A digital dynamic write margin sensor for low power read/write operations in 28nm SRAM. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kyungsang Cho, Yongjun Lee, Young H. Oh, Gyoo-Cheol Hwang, Jae W. Lee eDRAM-based tiered-reliability memory with applications to low-power frame buffers. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Botang Shao, Peng Li 0001 A model for array-based approximate arithmetic computing with application to multiplier and squarer design. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vivek Joy Kozhikkottu, Swagath Venkataramani, Sujit Dey, Anand Raghunathan Variation tolerant design of a vector processor for recognition, mining and synthesis. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hrishikesh Jayakumar, Kangwoo Lee, Woo Suk Lee, Arnab Raha, Younghyun Kim 0001, Vijay Raghunathan Powering the internet of things. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Khavari Tavana, Amey M. Kulkarni, Abbas Rahimi, Tinoosh Mohsenin, Houman Homayoun Energy-efficient mapping of biomedical applications on domain-specific accelerator under process variation. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rick Koster, Sushma Honnavara Prasad, Shreedhar Ramachandra Failing to fail: achieving success in advanced low power design using UPF. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Parthasarathy Ranganathan The new (system) balance of power and opportunities for optimizations. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Felipe Martin Sampaio, Muhammad Shafique 0001, Bruno Zatt, Sergio Bampi, Jörg Henkel Content-driven memory pressure balancing and video memory power management for parallel high efficiency video coding. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yongbing Huang, Mingyu Chen 0001, Lixin Zhang 0002, Shihai Xiao, Junfeng Zhao 0003, Zhulin Wei Intelligent frame refresh for energy-aware display subsystems in mobile devices. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yigit Demir, Nikos Hardavellas EcoLaser: an adaptive laser control for energy-efficient on-chip photonic interconnects. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vinay K. Chippa, Swagath Venkataramani, Kaushik Roy 0001, Anand Raghunathan StoRM: a stochastic recognition and mining processor. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sou-Chi Chang, Ahmet Ceyhan, Vachan Kumar, Azad Naeemi Performance modeling for emerging interconnect technologies in CMOS and beyond-CMOS circuits. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Francesco Fraternali, Andrea Bartolini, Carlo Cavazzoni, Giampietro Tecchiolli, Luca Benini Quantifying the impact of variability on the energy efficiency for a next-generation ultra-green supercomputer. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1John Redmond Leakage mitigation techniques in smartphone SoCs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seongjong Kim, Mingoo Seok Reconfigurable regenerator-based interconnect design for ultra-dynamic-voltage-scaling systems. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wonjun Lee, Channoh Kim, Houp Song, Jae W. Lee QPR.js: a runtime framework for QoS-aware power optimization for parallel JavaScript programs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Digvijay Singh, William J. Kaiser Energy efficient task scheduling on a multi-core platform using real-time energy measurements. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dongjun Xu, Sai Manoj Pudukotai Dinakarrao, Hantao Huang, Ningmei Yu, Hao Yu 0001 An energy-efficient 2.5D through-silicon interposer I/O with self-adaptive adjustment of output-voltage swing. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kan Zhong, Xiao Zhu, Tianzheng Wang 0001, Dan Zhang 0011, Xianlu Luo, Duo Liu, Weichen Liu, Edwin Hsing-Mean Sha DR. Swap: energy-efficient paging for smartphones. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hao He, Gongming Yang, Jiang Hu Algorithms for power-efficient QoS in application specific NoCs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Majed Valad Beigi, Gokhan Memik MIN: a power efficient mechanism to mitigate the impact of process variations on nanophotonic networks. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhenyu Sun 0001, Xiuyuan Bi, Alex K. Jones, Hai Li 0001 Design exploration of racetrack lower-level caches. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seyedhamidreza Motaman, Anirudh Iyengar, Swaroop Ghosh Synergistic circuit and system design for energy-efficient and robust domain wall caches. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ihab Nahlus, Eric P. Kim, Naresh R. Shanbhag, David T. Blaauw Energy-efficient dot product computation using a switched analog circuit architecture. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seongjong Kim, Mingoo Seok Analysis and optimization of in-situ error detection techniques in ultra-low-voltage pipeline. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nachiket V. Desai, Yogesh K. Ramadass, Anantha P. Chandrakasan A bipolar ±40 MV self-starting boost converter with transformer reuse for thermoelectric energy harvesting. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yin-Nien Chen, Ming-Long Fan, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Ultra-low voltage mixed TFET-MOSFET 8T SRAM cell. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shankar Ganesh Ramasubramanian, Rangharajan Venkatesan, Mrigank Sharad, Kaushik Roy 0001, Anand Raghunathan SPINDLE: SPINtronic deep learning engine for large-scale neuromorphic computing. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hans M. Jacobson, Arun Joseph, Dharmesh Parikh, Pradip Bose, Alper Buyuktosunoglu Empirically derived abstractions in uncore power modeling for a server-class processor chip. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaemin Kim, Alma Pröbstl, Samarjit Chakraborty, Naehyuck Chang Aging mitigation of power supply-connected batteries. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Swagath Venkataramani, Ashish Ranjan 0001, Kaushik Roy 0001, Anand Raghunathan AxNN: energy-efficient neuromorphic systems using approximate computing. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amr Fahim Challenges in low-power analog circuit design for sub-28nm CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Akshay Sridharan, Carl Sechen, Roozbeh Jafari Low-voltage low-overhead asynchronous logic. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Teng Xu 0001, James B. Wendt, Miodrag Potkonjak Digital bimodal function: An ultra-low energy security primitive. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Huichu Liu, Ramesh Vaddi, Suman Datta, Vijaykrishnan Narayanan Tunnel FET-based ultra-low power, high-sensitivity UHF RFID rectifier. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kaushik Roy 0001, Mrigank Sharad, Deliang Fan, Karthik Yogendra Beyond charge-based computation: Boolean and non-Boolean computing with spin torque devices. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guerric de Streel, David Bol Impact of back gate biasing schemes on energy and robustness of ULV logic in 28nm UTBB FDSOI technology. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuhao Wang 0002, Hao Yu 0001 An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Samal, Yarui Peng, Yang Zhang, Sung Kyu Lim Design and analysis of ultra low power processors using sub/near-threshold 3D stacked ICs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Massimo Petricca, Donghwa Shin, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino An automated framework for generating variable-accuracy battery models from datasheet information. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Huichu Liu, Suman Datta, Vijaykrishnan Narayanan Steep switching tunnel FET: A promise to extend the energy efficient roadmap for post-CMOS digital and analog/RF applications. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ying-Yu Chen, Amit Sangai, Morteza Gholipour, Deming Chen Graphene nano-ribbon field-effect transistors as future low-power devices. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Azalia Mirhoseini, Ebrahim M. Songhori, Farinaz Koushanfar Automated checkpointing for enabling intensive applications on energy harvesting devices. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ishwar Bhati, Zeshan Chishti, Bruce L. Jacob Coordinated refresh: Energy efficient techniques for DRAM refresh scheduling. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Indranil Palit, Xiaobo Sharon Hu, Joseph Nahas, Michael T. Niemier TFET-based cellular neural network architectures. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Prateek Tandon 0001, Vahed Qazvinian, Jichuan Chang, Parthasarathy Ranganathan, Ronald G. Dreslinski, Thomas F. Wenisch Hardware acceleration for similarity measurement in natural language processing. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Insup Shin, Jae-Joon Kim, Yu-Shiang Lin, Youngsoo Shin A pipeline architecture with 1-cycle timing error correction for low voltage operations. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arijit Raychowdhury Beyond charge based computation: Design space exploration of spin transfer torque based MRAMs for embedded applications. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yan Li, Kun Wang, Qi Guo 0001, Xin Li, Xiaochen Zhang, Guancheng Chen, Tao Liu, Jian Li 0059 Breaking the boundary for whole-system performance optimization of big data. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yao Wang, Haibo Wang 0005, Guangjun Wen A novel envelope edge detector for ultra-low power sensor wake-up circuit. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qiushi Han, Ming Fan 0001, Gang Quan Energy minimization for fault tolerant real-time applications on multiprocessor platforms using checkpointing. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mark Buckler, Wayne P. Burleson, Greg Sadowski Low-power Networks-on-Chip: Progress and remaining challenges. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xue Lin, Yanzhi Wang, Siyu Yue, Naehyuck Chang, Massoud Pedram A framework of concurrent task scheduling and dynamic voltage and frequency scaling in real-time embedded systems with energy harvesting. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alan J. Drake, Michael S. Floyd, Richard L. Willaman, Derek J. Hathaway, Joshua Hernandez, Crystal Soja, Marshall D. Tiner, Gary D. Carpenter, Robert M. Senger Single-cycle, pulse-shaped critical path monitor in the POWER7+ microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jing Li, Ruiyuan Zhu, Ting Yi, Bill Liu, Zhiliang Hong An energy-efficient 5-MHz to 20-MHz, 12-bit reconfigurable continuous-time ΣΔ modulator for 4G-LTE application. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zhongqi Li, Tao Li 0006 ESPN: A case for energy-star photonic on-chip network. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Muhammad Shafique 0001, Muhammad Usman Karim Khan, Jörg Henkel Content-driven adaptive computation offloading for energy-aware hybrid distributed video coding. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cheng-Wen Wu Holistic approach to low-power system design. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kyungtae Han, Alexander W. Min, Nithyananda S. Jeganathan, Paul Diefenbaugh A hybrid display frame buffer architecture for energy efficient display subsystems. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mingli Xie, Dong Tong 0001, Yi Feng 0003, Kan Huang, Xu Cheng 0001 Page policy control with memory partitioning for DRAM performance and power efficiency. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jiachen Xue, Mithuna Thottethodi PreTrans: Reducing TLB CAM-search via page number prediction and speculative pre-translation. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dipanjan Sengupta, Erhan Ergin, Andreas G. Veneris Early detection of current hot spots in power gated designs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lawrence T. Clark, Samuel Leshner, George Tien SRAM cell optimization for low AVT transistors. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xiaochun Ye, Dongrui Fan, Ninghui Sun, Shibin Tang, Mingzhe Zhang, Hao Zhang 0009 SimICT: A fast and flexible framework for performance and power evaluation of large-scale architecture. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yi-Wei Chiu, Yu-Hao Hu, Ming-Hsien Tu, Jun-Kai Zhao, Shyh-Jye Jou, Ching-Te Chuang A 40 nm 0.32 V 3.5 MHz 11T single-ended bit-interleaving subthreshold SRAM with data-aware write-assist. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yakun Sophia Shao, David M. Brooks Energy characterization and instruction-level energy model of Intel's Xeon Phi processor. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Juin J. Liou Challenges on designing electrostatic discharge protection solutions for low power electronics. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sam Likun Xi, Marisabel Guevara, Jared Nelson, Patrick Pensabene, Benjamin C. Lee Understanding the critical path in power state transition latencies. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mrigank Sharad, Rangharajan Venkatesan, Anand Raghunathan, Kaushik Roy 0001 Multi-level magnetic RAM using domain wall shift for energy-efficient, high-density caches. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chao Li 0009, Xian Li, Rui Wang 0014, Tao Li 0006, Nilanjan Goswami, Depei Qian Chameleon: Adapting throughput server to time-varying green power budget using online learning. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stanley W. Hsu, Erin G. Fong, Vipul Jain, Travis Kleeburg, Rajeevan Amirtharajah Switched-capacitor boost converter design and modeling for indoor optical energy harvesting with integrated photodiodes. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Donghwa Shin, Massimo Poncino, Enrico Macii, Naehyuck Chang A statistical model of cell-to-cell variation in Li-ion batteries for system-level design. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kapil Dev, Abdullah Nazma Nowroz, Sherief Reda Power mapping and modeling of multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license