The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Telajala Venkata Mahendra, Sheikh Wasmir Hussain, Sandeep Mishra, Anup Dandapat Low discharge precharge free matchline structure for energy-efficient search using CAM. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leilei Wang, Cheng Zhuo, Pingqiang Zhou Run-time demand estimation and modulation of on-chip decaps at system level for leakage power reduction in multicore chips. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dengli Bu, Pengjun Wang An improved KFDD based reversible circuit synthesis method. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xueyan Wang, Qiang Zhou 0001, Yici Cai, Gang Qu 0001 Parallelizing SAT-based de-camouflaging attacks by circuit partitioning and conflict avoiding. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chase Cook, Hengyang Zhao, Takashi Sato, Masayuki Hiromoto, Sheldon X.-D. Tan GPU-based Ising computing for solving max-cut combinatorial optimization problems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hossein Sariri, Pooya Torkzadeh, Sirus Sadughi A novel design of hybrid-time-interleaved current steering digital to analog converter and its behavioral simulation considering non-ideal effects. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Varsha Agarwal, Ananya Singla, Mahammad Samiuddin, Sudip Roy 0001, Tsung-Yi Ho, Indranil Sengupta 0001, Bhargab B. Bhattacharya Scheduling algorithms for reservoir- and mixer-aware sample preparation with microfluidic biochips. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Roberto Sierra, Carlos Carreras, Gabriel Caffarena Witelo: Automated generation and timing characterization of distributed-control macroblocks for high-performance FPGA designs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Soheil Salehi, Navid Khoshavi, Ramtin Zand, Ronald F. DeMara Self-Organized Sub-bank SHE-MRAM-based LLC: An energy-efficient and variation-immune read and write architecture. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Haoyi Wang, Chenguang Wang 0003, Yici Cai, Qiang Zhou 0001 A high-level information flow tracking method for detecting information leakage. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Victor Hugo Carbajal-Gomez, Esteban Tlelo-Cuautle, Jesús M. Muñoz-Pacheco, Luis Gerardo de la Fraga, Carlos Sánchez-López, Francisco Vidal Fernández Fernández Optimization and CMOS design of chaotic oscillators robust to PVT variations: INVITED. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gereon Führ, Ahmed Hallawa, Rainer Leupers, Gerd Ascheid, Juan Fernando Eusse Multi-objective optimisation of software application mappings on heterogeneous MPSoCs: TONPET versus R2-EMOA. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Andrea Ragni, Giuseppe Sciortino, Marco Sampietro, Giorgio Ferrari, Dario Polli Multi-channel lock-in based differential front-end for broadband Raman spectroscopy. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dongjin Lee, Sourav Das, Partha Pratim Pande Analyzing power-thermal-performance trade-offs in a high-performance 3D NoC architecture. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1M. Savitha, R. Venkat Siva Reddy Dual split-three segment capacitor array Design Based Successive approximation ADC for Io-T ecosystem. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Young-Ho Seo, Sung-Ho Park, Dong-Wook Kim High-level hardware design of digital comparator with multiple inputs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Julián Caba, Fernando Rincón, Julio Dondo, Jesús Barba, Manuel J. Abaldea, Juan Carlos López 0001 Testing framework for on-board verification of HLS modules using grey-box technique and FPGA overlays. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hamed Fatemi, Andrew B. Kahng, Hyein Lee 0001, Jiajia Li 0002, José Pineda de Gyvez Enhancing sensitivity-based power reduction for an industry IC design context. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Boran Wen, Qisheng Zhang, Xiao Zhao, Xiaolong Lv, Yongqing Wang Trade-offs among power consumption and other design parameters of two-stage recycling folded cascode OTA that using embedded cascode current buffer compensation technology. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Qingli Guo, Jing Ye 0001, Bing Li 0017, Yu Hu 0001, Xiaowei Li 0001, Yazhu Lan, Guohe Zhang PUFPass: A password management mechanism based on software/hardware codesign. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lennart Bamberg, Jan Moritz Joseph, Thilo Pionteck, Alberto García Ortiz Crosstalk optimization for through-silicon vias by exploiting temporal signal misalignment. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Baolin Wei, Tian Chen, Chao Lu 0005, Weilin Xu, Yuanzhi Zhang, Xueming Wei, Hongwei Yue, Jihai Duan An all-digital frequency tunable IR-UWB transmitter with an approximate 15th derivative Gaussian pulse generator. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Remi Dekimpe, Pengcheng Xu 0002, Maxime Schramme, Pierre Gérard, Denis Flandre, David Bol A battery-less BLE smart sensor for room occupancy tracking supplied by 2.45-GHz wireless power transfer. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jinglei Huang, Xiaodong Xu, Nan Wang, Song Chen 0001 Reconfigurable topology synthesis for application-specific NoC on partially dynamically reconfigurable systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tohid Aghaei, Ali Naderi Saatlo A new strategy to design low power translinear based CMOS analog multiplier. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1M. Reza Sadeghifar, Håkan Bengtsson, J. Jacob Wikner, Oscar Gustafsson Direct digital-to-RF converter employing semi-digital FIR voltage-mode RF DAC. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zahra Shirmohammadi OP-Fibo: An efficient Forbidden Pattern Free CAC design. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farzad Daryabari, Abdulhamid Zahedi, Abbas Rezaei, Mohsen Hayati Gain-controlled noise-reduction LNA design using source-bulk resistors and double common-source topology. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leila Bagheriye, Siroos Toofan, Roghayeh Saeidi, Farshad Moradi Highly stable, low power FinFET SRAM cells with exploiting dynamic back-gate biasing. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sarah Azimi, Boyang Du, Luca Sterpone, David Merodio Codinachs, Raoul Grimoldi, L. Cattaneo A new CAD tool for Single Event Transient Analysis and mitigation on Flash-based FPGAs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chase Cook, Sheriff Sadiqbatcha, Zeyu Sun 0001, Sheldon X.-D. Tan Reliability based hardware Trojan design using physics-based electromigration models. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Puneeth Kumar, S. Rekha Fast start crystal oscillator design with negative resistance control. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lawrence T. Clark, James Adams, Keith E. Holbert Reliable techniques for integrated circuit identification and true random number generation using 1.5-transistor flash memory. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yan Li, Zhiwei Li, Chen Yang, Wei Zhong, Song Chen 0001 High throughput hardware architecture for accurate semi-global matching. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Monir Zaman, Mustafa M. Shihab, Ayse K. Coskun, Yiorgos Makris CAPE: A cross-layer framework for accurate microprocessor power estimation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Manish Kumar Jaiswal, Hayden Kwok-Hay So Design of quadruple precision multiplier architectures with SIMD single and double precision support. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Thawra Kadeed, Sebastian Tobuschat, Adam Kostrzewa, Rolf Ernst Safe and efficient power management of hard real-time networks-on-chip. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sachin Maheshwari, Vivian A. Bartlett, Izzet Kale Modelling, simulation and verification of 4-phase adiabatic logic design: A VHDL-Based approach. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Manan Mewada, Mazad Zaveri, Rajesh Amratlal Thakker Improving the performance of transmission gate and hybrid CMOS Full Adders in chain and tree structure architectures. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anushree Mahapatra, Benjamin Carrión Schäfer VeriIntel2C: Abstracting RTL to C to maximize High-Level Synthesis Design Space Exploration. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kunwar Singh, Satish Chandra Tiwari, Maneesha Gupta A closed-loop ASIC design approach based on logical effort theory and artificial neural networks. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kiran Kumar Matam, Mohammad Abdel-Majeed, Murali Annavaram Efficient automatic parallelization of a single GPU program for a multiple GPU system. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Muhammed Ceylan Morgül, Mustafa Altun Optimal and heuristic algorithms to synthesize lattices of four-terminal switches. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu-Fan Chiang, Wei-Yu Chien, Yue-Der Chih, Jonathan Chang, Chrong Jung Lin, Ya-Chin King FinFET CMOS logic gates with non-volatile states for reconfigurable computing systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Soraya Aghnout, Gholamreza Karimi Modeling triplet spike timing dependent plasticity using a hybrid TFT-memristor neuromorphic synapse. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chihiro Matsui, Ken Takeuchi Step-by-Step Design of memory hierarchy for heterogeneously-integrated SCM/NAND flash storage. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masanori Hashimoto, Kazutoshi Kobayashi, Jun Furuta, Shin-ichiro Abe, Yukinobu Watanabe Characterizing SRAM and FF soft error rates with measurement and simulation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xin Feng, Youni Jiang, Xuejiao Yang, Ming Du, Xin Li 0001 Computer vision algorithms and hardware implementations: A survey. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yiming Ouyang, Zhe Li, Jianhua Li 0003, Chenglong Sun, Huaguo Liang, Gaoming Du CPCA: An efficient wireless routing algorithm in WiNoC for cross path congestion awareness. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Semih Turk, Alexander Schug, Reinhard Viga, Andreas Jupe, Holger Vogt Optimization of the dielectric layer for electrowetting on dielectric. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiangwei Cai, Jieming Yin, Pingqiang Zhou An orchestrated NoC prioritization mechanism for heterogeneous CPU-GPU systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dawei Li, Xiaowei Xu 0004, Leibo Liu, Li Zhang 0021, Cheng Zhuo, Yiyu Shi 0001 Optimal design of a low-power, phase-switching modulator for implantable medical applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lucas Lui Motta, Byron Alejandro Acuña Acurio, Nathália Figueiredo Tinoco Aniceto, Luís Geraldo P. Meloni Design and implementation of a digital down/up conversion directly from/to RF channels in HDL. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Prathiba Ashok, Kanchana Bhaaskaran Vettuvanam Somasundaram Hardware footprints of S-box in lightweight symmetric block ciphers for IoT and CPS information security systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mario Cofano, Marco Vacca, Giulia Santoro, Giovanni Causapruno, Giovanna Turvani, Mariagrazia Graziano Exploiting the Logic-In-Memory paradigm for speeding-up data-intensive algorithms. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eric Schneider, Hans-Joachim Wunderlich Multi-level timing and fault simulation on GPUs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Siva Satyendra Sahoo, Tuan D. A. Nguyen, Bharadwaj Veeravalli, Akash Kumar 0001 Multi-objective design space exploration for system partitioning of FPGA-based Dynamic Partially Reconfigurable Systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Huyen Pham Thi, Hanho Lee, Xuan Nghia Pham Half-row modified two-extra-column trellis min-max decoder architecture for nonbinary LDPC codes. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tengfei Wang, Wei Guo, Jizeng Wei Highly-parallel hardware implementation of optimal ate pairing over Barreto-Naehrig curves. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Himadri Singh Raghav, Izzet Kale A balanced power analysis attack resilient adiabatic logic using single charge sharing transistor. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sherif F. Nafea, Ahmed A. S. Dessouki, S. El-Rabaie 0001, Basem E. Elnaghi, Yehea Ismail, Hassan Mostafa An accurate model of domain-wall-based spintronic memristor. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ferdinando Costanzo, Rocco Giofrè, Antonino Massari, Marziale Feudale, Andrea Suriani, Ernesto Limiti A MMIC power amplifier in GaN on Si technology for next generation Q band high throughput satellite systems. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nan Wang 0003, Song Chen 0001, Zhiyuan Ma, Xiaofeng Ling, Yu Zhu Integrating operation scheduling and binding for functional unit power-gating in high-level synthesis. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Raffaele De Rose, Paul Romero, Marco Lanuzza Double-precision Dual Mode Logic carry-save multiplier. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anindita Chakraborty, Vivek Saurabh, Partha Sarathi Gupta, Rituraj Kumar, Saikat Majumdar, Smriti Das, Hafizur Rahaman 0001 In-memory designing of Delay and Toggle flip-flops utilizing Memristor Aided loGIC (MAGIC). Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nguyen Van Toan, Dam Minh Tung, Jeong-Gun Lee A GALS design based on multi-frequency clocking for digital switching noise reduction. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pietro Burrascano, Giulia Di Capua, Nicola Femia, Stefano Laureti, Marco Ricci 0001 A Pulse Compression procedure for power inductors modeling up to moderate non-linearity. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sasan Nikseresht, Seyed Javad Azhari A new current-mode computational analog block free from the body-effect. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Manas Kumar Hati, Tarun Kanti Bhattacharyya A constant loop bandwidth in delta sigma fractional-N PLL frequency synthesizer with phase noise cancellation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Lennart Bamberg, Imad Hajjar, Robert Schmidt 0003, Thilo Pionteck, Alberto García Ortiz Simulation environment for link energy estimation in networks-on-chip with virtual channels. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhen Wang, Jianhui Jiang, Tao Wang Failure probability analysis and critical node determination for approximate circuits. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhufei Chu, Lei Shi, Lun-Yao Wang, Yinshui Xia Multi-objective algebraic rewriting in XOR-majority graphs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ke Du 0001, Stéphane Domas, Michel Lenczner Actors with stretchable access patterns. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ji Li 0006, Zihao Yuan, Zhe Li 0001, Ao Ren, Caiwen Ding, Jeffrey Draper, Shahin Nazarian, Qinru Qiu, Bo Yuan 0001, Yanzhi Wang Normalization and dropout for stochastic computing-based deep convolutional neural networks. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Azad Mahmoudi, Pooya Torkzadeh, Massoud Dousti A study of analog decision feedback equalization for ADC-Based serial link receivers. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Teng Xu 0001, Miodrag Potkonjak Circuit power optimization using pipelining and dual-supply voltage assignment. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohd Syafiq Mispan, Shengyu Duan, Basel Halak, Mark Zwolinski A reliable PUF in a dual function SRAM. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kashif Nawaz, Léopold Van Brandt, Itamar Levi, François-Xavier Standaert, Denis Flandre A security oriented transient-noise simulation methodology: Evaluation of intrinsic physical noise of cryptographic designs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Junjun Hu, Zhijing Li 0002, Meng Yang, Zixin Huang, Weikang Qian A high-accuracy approximate adder with correct sign calculation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Abderrazak Arabi, Nacerdine Bourouba, Abdesslam Belaout, Mouloud Ayad An accurate classifier based on adaptive neuro-fuzzy and features selection techniques for fault classification in analog circuits. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lennart Bamberg, Amir Najafi 0001, Alberto García Ortiz Edge effect aware low-power crosstalk avoidance technique for 3D integration. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lihuan Wang, Shuyan Jiang, Shuyu Chen, Junshi Wang, Letian Huang Optimized mapping algorithm to extend lifetime of both NoC and cores in many-core system. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ata Khorami, Roghayeh Saeidi, Manoj Sachdev, Mohammad Sharifkhani A low-power dynamic comparator for low-offset applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiangwei Zhang, Donald Kline Jr., Liang Fang, Rami G. Melhem, Alex K. Jones Yielding optimized dependability assurance through bit inversion. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maedeh Hemmat, Azadeh Davoodi Power-efficient ReRAM-aware CNN model generation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nikolaos Kefalas, George Theodoridis Low-memory and high-performance architectures for the CCSDS 122.0-B-1 compression standard. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Naci Pekcokguler, Günhan Dündar, Catherine Dehollain Analysis, modeling and design of a CMOS Super-Regenerative Receiver for implanted medical devices under square and sinusoidal quench signals. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheng Zhuo, Baixin Chen System-level design consideration and optimization of through-silicon-via inductor. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Barend Harris, Inpyo Bae, Bernhard Egger 0002 Architectures and algorithms for on-device user customization of CNNs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Asghar Bahramali, Marisa López-Vallejo A low power RFID based energy harvesting temperature resilient CMOS-only reference voltage. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sarang Kazeminia A real-time pseudo-background gain calibration strategy for residue amplifiers of pipeline ADCs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Filipe Guimarães Russo Ramos, Thiago P. Mussolini, Robson Luiz Moreno, Tales Cleber Pimenta A CMOS temperature-independent current reference optimized for mixed-signal applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xing Wei, Haigang Yang, Wei Li 0008, Zhihong Huang, Tao Yin, Le Yu A reconfigurable 4-GS/s power-efficient floating-point FFT processor design and implementation based on single-sided binary-tree decomposition. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei Gao 0024, Zhiliang Qian, Pingqiang Zhou Reliability- and performance-driven mapping for regular 3D NoCs using a novel latency model and Simulated Allocation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shuyan Jiang, Qiong Wu, Shuyu Chen, Junkai Zhan, Junshi Wang, Masoumeh Ebrahimi, Letian Huang Testing aware dynamic mapping for path-centric network-on-chip test. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Francisco Veirano, Lirida A. B. Naviner, Fernando Silveira Optimal asymmetrical back plane biasing for energy efficient digital circuits in 28 nm UTBB FD-SOI. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anthony G. Scanlan Low power & mobile hardware accelerators for deep convolutional neural networks. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jeremy Scerri, Ivan Grech, Edward Gatt, Owen Casha Dimensional optimisation of a MEMS BPSK to ASK converter in SOIMUMPs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ahmad N. Abdulfattah, Charalampos C. Tsimenidis, Alex Yakovlev Ultra-low power m-sequence code generator for body sensor node applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Area-efficient fully digital memory using minimum height standard cells for near-threshold voltage computing. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license