The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-2003 (34) 2004 (54) 2005 (102) 2006 (133) 2007 (193) 2008 (189) 2009 (153) 2010 (156) 2011 (170) 2012 (153) 2013 (176) 2014 (149) 2015 (162) 2016 (138) 2017 (138) 2018 (132) 2019 (107) 2020 (100) 2021 (87) 2022 (74) 2023 (68) 2024 (6)
Publication types (Num. hits)
article(678) book(1) incollection(23) inproceedings(1948) phdthesis(24)
Venues (Conferences, Journals, ...)
NOCS(129) DATE(124) ISCAS(72) DSD(67) DAC(63) ISVLSI(53) SBCCI(53) CoRR(49) IEEE Trans. Very Large Scale I...(41) SoCC(39) ASP-DAC(37) IEEE Trans. Comput. Aided Des....(37) Microprocess. Microsystems(37) CODES+ISSS(35) NoCArc@MICRO(34) ICCD(33) More (+10 of total 510)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1003 occurrences of 375 keywords

Results
Found 2678 publication records. Showing 2674 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi An evolutionary approach to network-on-chip mapping problem. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Rutuparna Tamhankar, Srinivasan Murali, Giovanni De Micheli Performance driven reliable link design for networks on chips. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF aggressive design, performance, reliability, networks on chips, link
17Huy Nam Nguyen, Vu-Duc Ngo, Hae-Wook Choi Realization of Video Object Plane Decoder on On-Chip Network Architecture. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Leonel Tedesco, Aline Mello 0001, Diego Garibotti, Ney Calazans, Fernando Moraes 0001 Traffic generation and performance evaluation for mesh-based NoCs. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance evaluation, networks on chip, traffic modeling
17Francesco Lertora, Michele Borgatti Handling Different Computational Granularity by a Reconfigurable IC Featuring Embedded FPGAs and a Network-on-Chip. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jin-Ho Ahn, Byung In Moon, Sungho Kang A Practical Test Scheduling Using Network-Based TAM in Network on Chip Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Matthieu Briere, Emmanuel Drouard, Fabien Mieyeville, David Navarro, Ian O'Connor, Frédéric Gaffiot Heterogeneous Modelling of an Optical Network-on-Chip with SystemC. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nicolas Genko, David Atienza, Giovanni De Micheli, Luca Benini, Jose Manuel Mendias, Román Hermida, Francky Catthoor A novel approach for network on chip emulation. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kwanho Kim, Se-Joong Lee, Kangmin Lee, Hoi-Jun Yoo An arbitration look-ahead scheme for reducing end-to-end latency in networks on chip. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ümit Y. Ogras, Jingcao Hu, Radu Marculescu Communication-Centric SoC Design for Nanoscale Domain. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hsin-Chou Chi, Chia-Ming Wu Efficient Switches for Network-on-Chip Based Embedded Systems. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chunsheng Liu, Vikram Iyengar, Jiangfan Shi, Érika F. Cota Power-Aware Test Scheduling in Network-on-Chip Using Variable-Rate On-Chip Clocking. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Randeep Bhatia, Nicole Immorlica, Tracy Kimbrel, Vahab S. Mirrokni, Seffi Naor, Baruch Schieber Traffic engineering of management flows by link augmentations on confluent trees. Search on Bibsonomy SPAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF approximation algorithms, network management, traffic engineering
17Zhonghai Lu, Axel Jantsch Traffic Configuration for Evaluating Networks on Chips. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Erno Salminen, Vesa Lahtinen, Tero Kangas, Jouni Riihimäki, Kimmo Kuusilinna, Timo D. Hämäläinen HIBI v.2 Communication Network for System-on-Chip. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar, Tiehan Lv A Case Study in Networks-on-Chip Design for Embedded Video. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Aline Mello 0001, Leandro Möller, Ney Calazans, Fernando Gehm Moraes MultiNoC: A Multiprocessing System Enabled by a Network on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jingcao Hu, Radu Marculescu Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Rodrigo Soares, Ivan Saraiva Silva, Arnaldo Azevedo When reconfigurable architecture meets network-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiprocessor, system on chip, network on chip, reconfigurable architecture
17Erland Nilsson, Johnny Öberg Reducing power and latency in 2-D mesh NoCs using globally pseudochronous locally synchronous clocking. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hot-potato, pseudochronous, network on chip, mesh, clocking, GALS, GPLS
17Dongkun Shin, Jihong Kim 0001 Power-aware communication optimization for networks-on-chips with voltage scalable links. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, network-on-chip, low-power design
17Lukasz Masko Program Graph Scheduling for SMP Clusters with Communication on-the-Fly Based on Extended DS Approach. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mikael Millberg, Erland Nilsson, Rikard Thid, Shashi Kumar, Axel Jantsch The Nostrum Backbone - a Communication Protocol Stack for Networks on Chip. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Edwin Rijpkema, Kees G. W. Goossens, Andrei Radulescu, John Dielissen, Jef L. van Meerbergen, Paul Wielage, Erwin Waterlander Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Erland Nilsson, Mikael Millberg, Johnny Öberg, Axel Jantsch Load Distribution with the Proximity Congestion Awareness in a Network on Chip. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Axel Jantsch NoCs: A new Contract between Hardware and Software. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Matteo Dall'Osso, Gianluca Biccari, Luca Giovannini, Davide Bertozzi, Luca Benini xpipes: a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jian Liu, Li-Rong Zheng 0001, Dinesh Pamunuwa, Hannu Tenhunen A global wire planning scheme for Network-on-Chip. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Juha-Pekka Soininen, Axel Jantsch, Martti Forsell, Antti Pelkonen, Jari Kreku, Shashi Kumar Extending Platform-Based Design to Network on Chip Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Praveen Bhojwani, Rabi N. Mahapatra Interfacing Cores with On-chip Packet-Switched Networks. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Li-Wei Wu, Wei-Xiang Tang, Yarsun Hsu A Novel Architecture and Routing Algorithm for Dynamic Reconfigurable Network-on-Chip. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF north-last weave, dynamic reconfigurable, NoC
17Khalid Latif 0002, Tiberiu Seceleanu, Hannu Tenhunen Power and Area Efficient Design of Network-on-Chip Router through Utilization of Idle Buffers. Search on Bibsonomy ECBS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Segbus, Virtual Channel, NoC
17Daniel Gebhardt, JunBok You, Kenneth S. Stevens Comparing Energy and Latency of Asynchronous and Synchronous NoCs for Embedded SoCs. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF network, CAD, SoC, topology, asynchronous, floorplan, router, EDA, NoC, GALS
17Tushar N. K. Jain, Paul V. Gratz, Alexander Sprintson, Gwan Choi Asynchronous Bypass Channels: Improving Performance for Multi-synchronous NoCs. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asynchronous interconnect, NoC, GALS, on-chip networks
17Jonas Diemer, Rolf Ernst Back Suction: Service Guarantees for Latency-Sensitive On-chip Networks. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Latency-Sensitive, Quality of Service, QoS, Real-Time, NoC, Manycore
17Yuri Nishikawa, Michihiro Koibuchi, Hiroki Matsutani, Hideharu Amano A Deadlock-Free Non-minimal Fully Adaptive Routing Using Virtual Cut-Through Switching. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-minimal fully adaptive routing, NoC, deadlock-free routing, SAN, turn-model, virtual cut-through
17Dawid Zydek, Henry Selvaraj, Laxmi P. Gewali Synthesis of Processor Allocator for Torus-Based Chip MultiProcessors. Search on Bibsonomy ITNG The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, CMP, mesh, NoC, torus, hardware implementation, processor allocator
17Jochen Strunk, Toni Volkmer, Wolfgang Rehm, Heiko Schick Design and Performance of a Grid of Asynchronously Clocked Run-Time Reconfigurable Modules on a FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous FIFO, FPGA, grid, dynamic reconfiguration, NoC, run-time reconfiguration
17Julien Delorme, Amor Nafkha, Pierre Leray, Christophe Moy New OPBHWICAP Interface for Realtime Partial Reconfiguration of FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, NoC, SDR, Partial Reconfiguration
17Ashwini Raina, Venkatesan Muthukumar Traffic Aware Scheduling Algorithm for Network on Chip. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scheduling, Mapping, NoC, Simulation framework
17Ashwini Raina, Venkatesan Muthukumar Fuse-N: Framework for Unified Simulation Environment for Network-on-Chip. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF task scheduling and mapping, NoC, Simulation framework
17Young Hoon Kang, Jeff Sondeen, Jeffrey T. Draper Multicast routing with dynamic packet fragmentation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip router, interconnection network, NoC
17Eby G. Friedman Design challenges in high performance three-dimensional circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, NOC, 3-D, clock distribution
17Yuri Nishikawa, Michihiro Koibuchi, Masato Yoshimi, Akihiro Shitara, Kenichi Miura, Hideharu Amano Performance Analysis of ClearSpeed's CSX600 Interconnects. Search on Bibsonomy ISPA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ClearSpeed, CSX600, performance evaluation, SIMD, NoC
17Shu-Hsuan Chou, Chien-Chih Chen, Chi-Neng Wen, Yi-Chao Chan, Tien-Fu Chen, Chao-Ching Wang, Jinn-Shyan Wang No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF level-1 non-uniform cache architecture, ring interconnection, single-cycle transactions, multi-core, NOC, SOC, arbitration, memory structure
17Kwang-Ting (Tim) Cheng From the EIC. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chris Rowen, runtime power monitoring, NoC, hybrid approach, simultaneous switching noise, RFIC
17Ivan Miro Panades, Fabien Clermidy, Pascal Vivet, Alain Greiner Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DSPIN, ANOC, physical implementation, FAUST, bi-synchronous FIFO, network-on-chip, NoC
17Hiroki Matsutani, Michihiro Koibuchi, Daihan Wang, Hideharu Amano Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Network-on-Chip, virtual channels, NoC, DVFS, power gating
17Yaoting Jiao, Mei Yang, Yingtao Jiang, Yulu Yang, Xiao-chun Yun Deadlock-Free Multi-Path Routing for Torus-Based NoCs. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF deadlock, NoC, MPR
17Hazem Moussa, Amer Baghdadi, Michel Jézéquel Binary de Bruijn on-chip network for a flexible multiprocessor LDPC decoder. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flexible LDPC decoder, multiprocessor, NoC, de Bruijn graph
17Erik Jan Marinissen, Axel Jantsch, Nicola Nicolici DATE 07 workshop on diagnostic services in NoCs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF diagnostic services, DATE 2007, network on chip, NoC
17Christophe Bobda, Thomas Haller, Felix Mühlbauer, Dennis Rech, Simon Jung Design of adaptive multiprocessor on chip systems. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, reconfigurability, MPSoC, NoC
17Praveen Bhojwani, Jason D. Lee, Rabi N. Mahapatra SAPP: scalable and adaptable peak power management in nocs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network-on-chip, NoC, peak power
17Shyam R. Chidamber, David P. Darcy, Chris F. Kemerer Managerial Use of Metrics for Object-Oriented Software: An Exploratory Analysis. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF SLOC, WMC, DIT, LCOM, CBO, RFC, design, object-orientation, reuse, project management, Software metrics, productivity, NOC, programmer, effort
16Chifeng Wang, Wen-Hsiang Hu, Seung Eun Lee, Nader Bagherzadeh Area and Power-efficient Innovative Network-on-Chip Architecurte. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection network, system-on-chip (SoC), power-efficient, power-optimization, Network-on-Chip (NoC), area-efficient
16Somayyeh Koohi, Shaahin Hessabi Hierarchical on-Chip Routing of Optical Packets in Large Scale MPSoCs. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optical NoC, contention-free, scalable, hierarchical
16Chi-Fu Chang, Yarsun Hsu A System Exploration Platform for Network-on-Chip. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF NoC simulator, Application-driven design, Network on chip, Simulation framework
16Zheng Liu, Jueping Cai, Ming Du, Lei Yao, Zan Li Hybrid Communication Reconfigurable Network on Chip for MPSoC. Search on Bibsonomy AINA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF HCR-NoC, low power, interconnection, MPSoC
16Arghavan Asad, Amir Ehsani Zonouz, Mehrdad Seyrafi, Mohsen Soryani, Mahmood Fathy Modeling and Analyzing of Blocking Time Effects on Power Consumption in Network-on-Chips. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Network on Chip(NoC), High Traffic Regions, Packet Blocking Power Consumption, Blocking Time
16Gerard J. M. Smit, André B. J. Kokkeler, Pascal T. Wolkotte, Marcel D. van de Burgwal Multi-core architectures and streaming applications. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC design, multi-core SoC design, system design, streaming applications
16Vassos Soteriou, Noel Eisley, Li-Shiuan Peh Software-directed power-aware interconnection networks. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic voltage, networks on-a-chip (NoC), software-directed power reduction, simulation, interconnection networks, scaling, communication links
16Alexandre M. Amory, Érika F. Cota, Marcelo Lubaszewski, Fernando Gehm Moraes Reducing test time with processor reuse in network-on-chip based systems. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NoC testing, computer-aided test (CAT), software-based test, network-on-chip, SoC test, core-based test
16Peter Zipf, Heiko Hinkelmann, Adeel Ashraf, Manfred Glesner A switch architecture and signal synchronization for GALS system-on-chips. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NoC switch, clock stretching, synchronization, GALS
16Jiang Xu 0001, Wayne H. Wolf Wave pipelining for application-specific networks-on-chips. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF system-on-chip (SoC), interconnection, networks-on-chip (NoC), wave pipelining, coupling capacitance
12Susana Ortega-Cisneros Design and Implementation of an NoC-Based Convolution Architecture With GEMM and Systolic Arrays. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Siyue Li, Shize Zhou, Yongqi Xue, Wenjie Fan, Tong Cheng, Jinlun Ji, Chenyang Dai, Wenqing Song, Qinyu Chen, Chang Gao, Li Li 0003, Yuxiang Fu HAS-RL: A Hierarchical Approximate Scheme Optimized With Reinforcement Learning for NoC-Based NN Accelerators. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Ali M. Al Shahrani, Ali Rizwan, Abdullah Algarni, Khalid A. Alissa, Mohammad Shabaz, Bhupesh Kumar Singh, John Zaki A Deep Learning Network-on-Chip (NoC)-Based Switch-Router to Enhance Information Security in Resource-Constrained Devices. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Syam Sankar, Ruchika Gupta, John Jose, Sukumar Nandi TROP: TRust-aware OPportunistic Routing in NoC with Hardware Trojans. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Maico Cassel dos Santos, Tianyu Jia, Joseph Zuckerman, Martin Cochet, Davide Giri, Erik Jens Loscalzo, Karthik Swaminathan, Thierry Tambe, Jeff Jun Zhang, Alper Buyuktosunoglu, Kuan-Lin Chiu, Giuseppe Di Guglielmo, Paolo Mantovani, Luca Piccolboni, Gabriele Tombesi, David Trilla, John-David Wellman, En-Yu Yang, Aporva Amarnath, Ying Jing, Bakshree Mishra, Joshua Park, Vignesh Suresh, Sarita V. Adve, Pradip Bose, David Brooks 0001, Luca P. Carloni, Kenneth L. Shepard, Gu-Yeon Wei 14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Seena Vazifedunn, Akram Reza, Midia Reshadi Low-cost regional-based congestion-aware routing algorithm for 2D mesh NoC. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Anurag Kar, Xueyang Liu, Yonghae Kim, Gururaj Saileshwar, Hyesoon Kim, Tushar Krishna Mitigating Timing-Based NoC Side-Channel Attacks With LLC Remapping. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12João Fellipe Uller, João Vicente Souto, Pedro Henrique Penna, Márcio Castro 0001, Henrique Cota de Freitas, Jean-François Méhaut LWMPI: An MPI library for NoC-based lightweight manycore processors with on-chip memory constraints. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Poornima Narayanasamy, Seetharaman Gopalakrishnan Novel fault tolerance topology using corvus seek algorithm for application specific NoC. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Majid Nezarat, Hadi Shahriar Shahhoseini, Masoomeh Momeni Thermal-aware routing algorithm in partially connected 3D NoC with dynamic availability for elevators. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Rafael Follmann Faccenda, Gustavo Comarú, Luciano Lores Caimi, Fernando Gehm Moraes A Comprehensive Framework for Systemic Security Management in NoC-Based Many-Cores. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Williams Yohanna Yerima, Khanh N. Dang, Abderazek Ben Abdallah R-MaS3N: Robust Mapping of Spiking Neural Networks to 3D-NoC-Based Neuromorphic Systems for Enhanced Reliability. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Waqar Amin, Fawad Hussain, Sheraz Anjum, Sharoon Saleem, Waqar Ahmad, Mubashir Hussain HyDra: Hybrid Task Mapping Application Framework for NOC-Based MPSoCs. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Khanh N. Dang, Nguyen Anh Vu Doan, Ngo-Doanh Nguyen, Abderazek Ben Abdallah HeterGenMap: An Evolutionary Mapping Framework for Heterogeneous NoC-Based Neuromorphic Systems. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yousif Raad Muhsen, Nor Azura Husin, Maslina Binti Zolkepli, Noridayu Bt Manshor, Ahmed Abbas Jasim Al-Hchaimi, Hussein Mohammed Ridha Enhancing NoC-Based MPSoC Performance: A Predictive Approach With ANN and Guaranteed Convergence Arithmetic Optimization Algorithm. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yousif Raad Muhsen, Nor Azura Husin, Maslina Binti Zolkepli, Noridayu Bt Manshor, Ahmed Abbas Jasim Al-Hchaimi Evaluation of the Routing Algorithms for NoC-Based MPSoC: A Fuzzy Multi-Criteria Decision-Making Approach. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Williams Yohanna Yerima, Ogbodo Mark Ikechukwu, Khanh N. Dang, Abderazek Ben Abdallah Fault-Tolerant Spiking Neural Network Mapping Algorithm and Architecture to 3D-NoC-Based Neuromorphic Systems. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Kun-Chih Chen, Yuan-Hao Liao, Cheng-Ting Chen, Leiqi Wang Adaptive Machine Learning-Based Proactive Thermal Management for NoC Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yiming Ouyang, Jiaxin Wang, Chenglong Sun, Qi Wang 0027, Huaguo Liang URMP: using reconfigurable multicast path for NoC-based deep neural network accelerators. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Cong Thuan Do, Cheol Hong Kim, Sung Woo Chung Correction to: Aggressive GPU cache bypassing with monolithic 3D-based NoC. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Somnath Mazumdar, Alberto Scionti, Stéphane Zuckerman, Antoni Portero NoC-based hardware software co-design framework for dataflow thread management. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Cong Thuan Do, Cheol Hong Kim, Sung Woo Chung Aggressive GPU cache bypassing with monolithic 3D-based NoC. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sonal Yadav, Vijay Laxmi, Hemangee K. Kapoor, Manoj Singh Gaur, Amit Kumar Adaptive distribution of control messages for improving bandwidth utilization in multiple NoC. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sidhartha Sankar Rout, Badri M, Mitali Sinha, Sujay Deb ReDeSIGN: Reuse of Debug Structures for Improvement in Performance Gain of NoC Based MPSoCs. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nima Jafarzadeh, Ahmad Jalili, Jafar Ahmad Abed Alzubi, Khosro Rezaee, Yang Liu 0039, Mehdi Gheisari, Bahram Sadeghi Bigham, Amir Javadpour A novel buffering fault-tolerance approach for network on chip (NoC). Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Weng Xiaodong, Yi Liu 0060, Changqing Xu, Xiaoling Lin, Linjun Zhan, Shunyao Wang, Dongdong Chen 0010, Yintang Yang A Machine Learning Mapping Algorithm for NoC Optimization. Search on Bibsonomy Symmetry The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jianwen Luo 0004, Xinzhe Liu, Fupeng Chen, Yajun Ha HRFF: Hierarchical and Recursive Floorplanning Framework for NoC-Based Scalable Multidie FPGAs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yang Li, Pingqiang Zhou Fast and Accurate NoC Latency Estimation for Application-Specific Traffics via Machine Learning. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Gomatheeshwari B, K. Gopi, Ajisha Mathias Low-complex resource mapping heuristics for mobile and iot workloads on NoC-HMPSoC architecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Anugrah Jain, Vijay Laxmi, Manoj Singh Gaur, Ashish Sharma 0005 An improved reconfiguration algorithm for handling 1-point NoC failures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xingyu Meng, Kshitij Raj, Sandip Ray, Kanad Basu SeVNoC: Security Validation of System-on-Chip Designs With NoC Fabrics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zhe Jiang 0004, Xiaotian Dai 0001, Ran Wei, Ian Gray, Zonghua Gu 0001, Qingling Zhao, Shuai Zhao 0004 NPRC-I/O: An NoC-Based Real-Time I/O System With Reduced Contention and Enhanced Predictability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Afshan Amin Khan, Roohie Naaz Mir, Najeeb-ud-Din Hakim Scheduling Strategies and Future Directions for NoC: A Systematic Literature Review. Search on Bibsonomy Autom. Control. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Dipika Deb, John Jose ZPP: A Dynamic Technique to Eliminate Cache Pollution in NoC based MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Aruru Sai Kumar, B. Naresh Kumar Reddy An Efficient Real-Time Embedded Application Mapping for NoC Based Multiprocessor System on Chip. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12T. Nagalaxmi, E. Sreenivasa Rao, P. Chandrasekhar Design and Performance Analysis of Low Latency Routing Algorithm based NoC for MPSoC. Search on Bibsonomy Int. J. Commun. Networks Inf. Secur. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2674 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license