The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase VLSI-SoC (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001 (39) 2002-2003 (101) 2004-2005 (22) 2006 (98) 2007 (81) 2008 (16) 2009-2010 (103) 2011 (94) 2012 (75) 2013 (96) 2014 (58) 2015 (77) 2016 (62) 2017 (61) 2018 (64) 2019 (82) 2020 (59) 2021 (59) 2022 (92) 2023 (52)
Publication types (Num. hits)
article(5) inproceedings(1350) proceedings(36)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8 occurrences of 7 keywords

Results
Found 1391 publication records. Showing 1391 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Yiorgos I. Bontzios, Michael G. Dimopoulos, Alkis A. Hatzopoulos Prospects of 3D inductors on through silicon vias processes for 3D ICs. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Manas Kumar Puthal, Virendra Singh, Manoj Singh Gaur, Vijay Laxmi C-Routing: An adaptive hierarchical NoC routing methodology. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Zhiliang Qian, Ying Fei Teh, Chi-Ying Tsui A fault-tolerant network-on-chip design using dynamic reconfiguration of partial-faulty routing resources. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Ying Fei Teh, Zhiliang Qian, Chi-Ying Tsui A fault-tolerant NoC using combined link sharing and partial fault link utilization scheme. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Pascal Vivet, Denis Dutoit, Yvain Thonnart, Fabien Clermidy 3D NoC using through silicon Via: An asynchronous implementation. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Xiaojin Zhao, Amine Bermak, Farid Boussaïd A low cost CMOS polarimetric ophthalmoscope scheme for cerebral malaria diagnostics. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Wei Jin 0004, Sheng Lu, Weifeng He, Zhigang Mao A 230mV 8-bit sub-threshold microprocessor for wireless sensor network. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Jizeng Wei, Yisong Chang, Wei Guo 0005, Jizhou Sun An optimized TTA-like vertex shader datapath for embedded 3D graphics processing unit. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Joseph Sankman, Dongsheng Ma 0001 A subthreshold digital maximum power point tracker for micropower piezoelectric energy harvesting applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Wenmin Hu, Zhonghai Lu, Axel Jantsch, Hengzhu Liu, Botao Zhang, Dongpei Liu Network-on-Chip multicasting with low latency path setup. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Kai-Pui Lam, Terrence S. T. Mak, Chi-Sang Poon Comparative ODE benchmarking of unidirectional and bidirectional DP networks for 3D-IC. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Haotian Liu, Fengrui Shi, Yuanzhe Wang, Ngai Wong Frequency-domain transient analysis of multitime partial differential equation systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Sébastien Le Beux, Jelena Trajkovic, Ian O'Connor, Gabriela Nicolescu Layout guidelines for 3D architectures including Optical Ring Network-on-Chip (ORNoC). Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Yang Chai, Minghui Sun, Zhiyong Xiao, Yuan Li, Min Zhang 0041, Philip C. H. Chan Towards future VLSI interconnects using aligned carbon nanotubes. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Marcel Veloso Campos, André Luís Fortunato, Carlos Alberto dos Reis Filho New 12-bit source-follower track-and-hold circuit suitable for high-speed applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Wing-Hung Ki, Yan Lu 0002, Feng Su, Chi-Ying Tsui Design and analysis of on-chip charge pumps for micro-power energy harvesting applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Masahiro Iida, Kazuki Inoue, Motoki Amagasaki, Toshinori Sueyoshi An easily testable routing architecture of FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Jamshaid Sarwar Malik, Jameel Nawaz Malik, Ahmed Hemani, Nasirud Din Gohar Generating high tail accuracy Gaussian Random Numbers in hardware using central limit theorem. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40Pramod Kumar Meher, Yu Pan MCM-based implementation of block FIR filters for high-speed and low-power applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
40 VLSI-SoC: Advanced Topics on Systems on a Chip - A Selection of Extended Versions of the Best Papers of the Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2007), October 15-17, 2007, Atlanta, USA Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Cyrus Bazeghi, Francisco J. Mesa-Martinez, Jose Renau System and Procesor Design Effort Estimation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Sameer Sharma, L. G. Johnson First Order, Quasi-Static, SOI Charge Conserving Power Dissipation Model. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Robert Wille, Görschwin Fey, Daniel Große, Stephan Eggersglüß, Rolf Drechsler SWORD: A SAT like Prover Using Word Level Information. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Julien Goulier, Eric André, Marc Renaudin A new analytical approach of the impact of jitter on continuous time delta sigma converters. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Marco Paolieri, Ivano Bonesana, Marco D. Santambrogio ReCPU: a Parallel and Pipelined Architecture for Regular Expression Matching. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Vincenzo Rana, Chiara Sandionigi, Marco D. Santambrogio, Donatella Sciuto An adaptive genetic algorithm for dynamically reconfigurable modules allocation. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre Compression-based SoC Test Infrastructures. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Antonio Carlos Schneider Beck, Luigi Carro Reconfigurable Acceleration with Binary Compatibility for General Purpose Processors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jorge Fernandez Villena, Wil H. A. Schilders, L. Miguel Silveira Parametric Structure-Preserving Model Order Reduction. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Aline Mello 0001, Ney Calazans, Fernando Moraes 0001 QoS in Networks-on-Chip - Beyond Priority and Circuit Switching Techniques. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Sheng-Yu Peng, Paul E. Hasler, David V. Anderson A Programmable Multi-Dimensional Analog Radial-Basis- Function-Based Classifier. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Bassam Jamil Mohd, Earl E. Swartzlander Jr., Adnan Aziz The Hazard-Free Superscalar Pipeline Fast Fourier Transform Architecture and Algorithm. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Osnat Keren, Ilya Levin, Radomir S. Stankovic Use of Gray Decoding for Implementation of Symmetric Functions. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Almitra Pradhan, Ranga Vemuri Accurate Performance Estimation using Circuit Matrix Models in Analog Circuit Synthesis. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Lucas Brusamarello, Roberto da Silva, Gilson I. Wirth, Ricardo Reis 0001 Statistical and Numerical Approach for a Computer efficient circuit yield analysis. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Gustavo Neuberger, Gilson I. Wirth, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001 Statistical Analysis of Normality of Systematic and Random Variability of Flip-Flop Race Immunity in 130nm and 90nm CMOS Technologies. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32 31st IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023 Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Kais Belwafi, Hamdan Alshamsi, Ashfaq Ahmed, Abdulhadi Shoufan Zero-Trust Communication between Chips. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Shahid Jamil, Muhammad Usman, Muhammad Jawad Shakil, Jafar Hussain, Rashad Ramzan Bi-Directional Time Domain Duplexing (TDD) Amplifier for 5G Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Hanning Chen, Yeseong Kim, Elaheh Sadredini, Saransh Gupta, Hugo Latapie, Mohsen Imani Sparsity Controllable Hyperdimensional Computing for Genome Sequence Matching Acceleration. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Imlijungla Longchar, Hemangee K. Kapoor ADaMaT: Towards an Adaptive Dataflow for Maximising Throughput in Neural Network Inference. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Omar Numan, Martin Andraud, Kari Halonen A Self-Calibrated Activation Neuron Topology for Efficient Resistive-Based In-Memory Computing. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Jean-Philippe Noël, E. Valea, Laurent Grenouillet, B. Chapuis, C. Fisher, A. Recoquillay, Bastien Giraud Compute-In-Place Serial FeRAM: Enhancing Performance, Efficiency and Adaptability in Critical Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Rebecca Pelke, Nils Bosbach, José Cubero-Cascante, Felix Staudigl, Rainer Leupers, Jan Moritz Joseph Mapping of CNNs on multi-core RRAM-based CIM architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Can Ayduman, Emre Koçer, Selim Kirbiyik, Ahmet Can Mert, Erkay Savas Efficient Design-Time Flexible Hardware Architecture for Accelerating Homomorphic Encryption. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Shan-Hui Chou, Ting-Yun Hsiao, Jing-Yang Jou, Juinn-Dar Huang An Evaluation and Architecture Exploration Engine for CNN Accelerators through Extensive Dataflow Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Siyi Wang, Anupam Chattopadhyay Reducing Depth of Quantum Adder using Ling Structure. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Deepraj Soni, Mohammed Nabeel 0001, Ramesh Karri, Michail Maniatakos Optimizing Constrained-Modulus Barrett Multiplier for Power and Flexibility. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Ankit Sirohi, Jawar Singh A Steep Slope Sub-10nm Armchair Phosphorene Nanoribbon FET with Intrinsic Cold Contact. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Aishwarya Gupta, N. S. Aswathy, Hemangee K. Kapoor Look before you leap: An Access-based Prudent Page Migration for Hybrid Memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Anjum Riaz, Gaurav Kumar, Pardeep Kumar, Yamuna Prasad, Satyadev Ahlawat On Protecting IJTAG using an Inherently Secure SIB. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Dheemanth Joshi, Aniket Arun Gangotri, Sai Pranay Chennamsetti, Gautham Bolar, Ganesan Thiagarajan, Sanjeev Gurugopinath A Two-Layer Connected Component Algorithm for Target Extraction Using K-means and Morphology. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Gabriel Rutsch, Konrad Maier, Wolfgang Ecker FPGA-implementation techniques to efficiently test application readiness of mixed-signal products. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Lennart M. Reimann, Jonathan Wiesner, Dominik Sisejkovic, Farhad Merchant, Rainer Leupers SoftFlow: Automated HW-SW Confidentiality Verification for Embedded Processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Paolo Bernardi, Giorgio Insinga, Nima Kolahimahmoudi A Novel Approach to Extract Embedded Memory Design Parameter Through Irradiation Test. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Walaa Amer, Mariam Rakka, Rachid Karami, Minjun Seo, Mazen A. R. Saghir, Rouwaida Kanj, Fadi J. Kurdahi Hardware Implementation and Evaluation of an Information Processing Factory. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Sejin Lim, Hyunjun Kim, Kyungbae Jang, Siyi Wang, Anubhab Baksi, Anupam Chattopadhyay, Hwajeong Seo Optimized Quantum Circuit Implementation of Payoff Function. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Sagheer Ahmed, Jayesh Ambulkar, Debabrata Mondal, Ambika Prasad Shah Soft Error Immune with Enhanced Critical Charge SIC14T SRAM Cell for Avionics Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Rafael Medina, Darong Huang, Giovanni Ansaloni, Marina Zapater, David Atienza REMOTE: Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Shayesteh Masoumian, Roel Maes, Rui Wang, Karthik Keni Yerriswamy, Geert Jan Schrijen, Said Hamdioui, Mottaqiallah Taouil Modeling and Analysis of SRAM PUF Bias Patterns in 14nm and 7nm FinFET Technology Nodes. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Klajd Zyla, Marco Liess, Thomas Wild, Andreas Herkersdorf FlexPipe: Fast, Flexible and Scalable Packet Processing for High-Performance SmartNICs. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Hala Ibrahim, Haytham Azmi, M. Watheq El-Kharashi, Mona Safar Hardware Security Analysis of Arbiters: Trojan Modeling and Formal Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Yi Chen, Jie Lou, Christian Lanius, Florian Freye, Johnson Loh, Tobias Gemmeke An Energy-Efficient and Area-Efficient Depthwise Separable Convolution Accelerator with Minimal On-Chip Memory Access. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Umair F. Siddiqi, Gary William Grewal, Shawki Areibi A Deterministic Parallel Routing Approach for Accelerating Pathfinder-based Algorithms. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Hassen Aziza, Cristian Zambelli, Said Hamdioui, Sumit Diware, Rajendra Bishnoi, Anteneh Gebregiorgis On the Reliability of RRAM-Based Neural Networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Giovani Britton, Salvador Mir, Estelle Lauga-Larroze, Benjamin Dormieu, Quentin Berlingard, Mikaël Cassé, Philippe Galy Noise modeling using look-up tables and DC measurements for cryogenic applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Yiyang Yu, Atif Shamim Gain Enhancement of Antenna-on-Chip at 94 GHz with an Integrated Artificial Magnetic Conductor for 6G System-on-Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Suman Deb, Anupam Chattopadhyay, Avi Mendelson A RISC-V SoC with Hardware Trojans: Case Study on Trojan-ing the On-Chip Protocol Conversion. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Robert Limas Sierra, Juan-David Guerrero-Balaguera, Josie E. Rodriguez Condia, Matteo Sonza Reorda Analyzing the Impact of Different Real Number Formats on the Structural Reliability of TCUs in GPUs. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Esha Sarkar, Constantine Doumanidis, Michail Maniatakos TRAPDOOR: Repurposing neural network backdoors to detect dataset bias in machine learning-based genomic analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Cristiano Merio, Xavier Lesage, Ali Naimi, Sylvain Engels, Katell Morin-Allory, Laurent Fesquet Method for Data-Driven Pruning in Micropipeline Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Cédric Marchand 0002, Alban Nicolas, Paul-Antoine Matrangolo, David Navarro, Alberto Bosio, Ian O'Connor FeFET based Logic-in-Memory design methodologies, tools and open challenges. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Chun-Jen Tsai, Chun Wei Chao, Sheng-Di Hong Integrated Dynamic Memory Manager for a RISC-V Processor. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Junjie Li, Youming Zhang, Yunqi Cao, Xusheng Tang, Fengyi Huang A Unity Feedback Length-Extend Delta-Sigma Modulator for Fractional-N Frequency Synthesizer. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Safiullah Khan, Ayesha Khalid, Ciara Rafferty, Yasir Ali Shah, Máire O'Neill, Wai-Kong Lee, Seong Oun Hwang Efficient, Error-Resistant NTT Architectures for CRYSTALS-Kyber FPGA Accelerators. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Mouli Venkata Prakash Pittala, Aditya Kalyani, Nagaveni S Reconfigurable Rectifier for RF Energy Harvesting System at WiFi-6 Frequency Band for 2.5 V. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Shubham Kumar, Paul R. Genssler, Somaya Mansour, Yogesh Singh Chauhan, Hussam Amrouch Frontiers in AI Acceleration: From Approximate Computing to FeFET Monolithic 3D Integration. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Jingbo Jiang, Xizi Chen, Chi-Ying Tsui Accelerating Large Kernel Convolutions with Nested Winograd Transformation. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Rassul Bairamkulov, Alessandro Tempia Calvino, Giovanni De Micheli Synthesis of SFQ Circuits with Compound Gates. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Gokulnath Rajendran, Furqan Zahoor, Simranjeet Singh, Farhad Merchant, Vikas Rana, Anupam Chattopadhyay PR-PUF: A Reconfigurable Strong RRAM PUF. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Rupesh Raj Karn, Kashif Nawaz, Ibrahim Abe M. Elfadel Post-Quantum, Order-Preserving Encryption for the Confidential Inference in Decision Trees: FPGA Design and Implementation. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Grégoire Eggermann, Marco Rios, Giovanni Ansaloni, Sani R. Nassif, David Atienza A 16-bit Floating-Point Near-SRAM Architecture for Low-power Sparse Matrix-Vector Multiplication. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Muhammad Jawad Shakil, Uzair Ahmed, Jafar Hussain, Hassan Saif, Rashad Ramzan A Bondwire Inductor Based Flash ADC Assisted DC-DC Buck Converter. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Foroozan Karimzadeh, Mohsen Imani, Bahar Asgari, Ningyuan Cao, Yingyan Lin, Yan Fang Memory-Based Computing for Energy-Efficient AI: Grand Challenges. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Solomon Michael Serunjogi, Mihai Sanduleanu 3.125GS/s, 4.9 ENOB, 109 fJ/Conversion Time-Domain ADC for Backplane Interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Hossein Taji, Jose Miranda, Miguel Peón Quirós, Szabolcs Balási, David Atienza Dynamic Scheduling for Event-Driven Embedded Industrial Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Ziyang Ye, Makoto Ikeda Dynamic Digital Circuit Locking (DDCL): A Shield against Static Analysis Attacks. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32A. Datsuk, P. Ostrovskyy, F. Vater, C. Wieden Towards Robust Process Design Kits with a Scalable DevOps Quality Assurance Platform. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, VLSI-SoC 2022, Patras, Greece, October 3-5, 2022 Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32C. del Río Bueno, U. Esteban Eraso, Carlos Sánchez-Azqueta, Santiago Celma A 18-27 GHz Programmable Gain Amplifier in 65-nm CMOS technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Halil Kükner, Gökhan Kaplayan, Ahmet Efe, Mehmet Ali Gülden RISC-V Processor Trace Encoder with Multiple Instructions Retirement Support. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Foroozan Karimzadeh, Arijit Raychowdhury Towards Energy Efficient DNN accelerator via Sparsified Gradual Knowledge Distillation. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Lucas Réveil, Chhandak Mukherjee, Cristell Maneux, Marina Deng, François Marc, Abhishek Kumar, Aurélie Lecestre, Guilhem Larrieu, Arnaud Poittevin, Ian O'Connor, Oskar Baumgartner, David Pirker Analysis of an Inverter Logic Cell based on 3D Vertical NanoWire Junction-Less Transistors. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Vasileios Leon, Kiamal Z. Pekmestzi, Dimitrios Soudris Systematic Embedded Development and Implementation Techniques on Intel Myriad VPUs. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Cecil Accetti, Peilin Liu Architectural Support for Functional Programming. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Milad Eslaminia, Sébastien Le Beux Toward Large Scale All-Optical Spiking Neural Networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Nils Bosbach, Jan Moritz Joseph, Rainer Leupers, Lukas Jünger 0001 NISTT: A Non-Intrusive SystemC-TLM 2.0 Tracing Tool. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Mohammad Humam Khan, Ruchika Gupta, Vedika J. Kulkarni, John Jose, Sukumar Nandi Hardware Trojan Mitigation for Securing On-chip Networks from Dead Flit Attacks. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Endri Kaja, Nicolas Gerlin, Monideep Bora, Gabriel Rutsch, Keerthikumara Devarajegowda, Dominik Stoffel, Wolfgang Kunz, Wolfgang Ecker Fast and Accurate Model-Driven FPGA-based System-Level Fault Emulation. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Foroozan Karimzadeh, Arijit Raychowdhury Towards CIM-friendly and Energy-Efficient DNN Accelerator via Bit-level Sparsity. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1391 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license