The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1986 (15) 1987-1990 (18) 1991-1992 (42) 1993 (21) 1994 (47) 1995 (25) 1996 (54) 1997 (50) 1998 (107) 1999 (97) 2000 (132) 2001 (120) 2002 (145) 2003 (203) 2004 (249) 2005 (286) 2006 (255) 2007 (252) 2008 (270) 2009 (198) 2010 (126) 2011 (116) 2012 (122) 2013 (142) 2014 (135) 2015 (145) 2016 (128) 2017 (157) 2018 (171) 2019 (176) 2020 (173) 2021 (187) 2022 (198) 2023 (212) 2024 (38)
Publication types (Num. hits)
article(1270) book(2) data(2) incollection(14) inproceedings(3444) phdthesis(75) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2225 occurrences of 1302 keywords

Results
Found 4812 publication records. Showing 4812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Varvana Myllärniemi, Mikko Raatikainen, Tomi Männistö Using a Configurator for Predictable Component Composition. Search on Bibsonomy EUROMICRO-SEAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Daniel Lohmann, Jochen Streicher, Olaf Spinczyk, Wolfgang Schröder-Preikschat Interrupt synchronization in the CiAO operating system: experiences from implementing low-level system policies by AOP. Search on Bibsonomy ACP4IS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CiAO, aspect-aware operating system, aspect-oriented programming (AOP), configurability, AspectC++
13Qibin Sun, Dajun He, Qi Tian 0002 A Secure and Robust Authentication Scheme for Video Transcoding. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jack R. Smith, Tian Xia, Charles E. Stroud An Automated BIST Architecture for Testing and Diagnosing FPGA Interconnect Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stuck-at faults, bridging faults, delay faults
13Youngsoo Kim, William W. Edmonson H.264 Video Decoder Design: Beyond RTL Design Implementation. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ada S. Y. Poon An Energy-Efficient Reconfigurable Baseband Processor for Flexible Radios. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Nastaran Baradaran, Pedro C. Diniz Memory Parallelism Using Custom Array Mapping to Heterogeneous Storage Structures. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13John D. DeHart, Fred Kuhns, Jyoti Parwatikar, Jonathan S. Turner, Charlie Wiseman, Ken Wong The open network laboratory. Search on Bibsonomy SIGCSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF experimental computer science, education, real-time displays
13Gianluca Tempesti, Pierre-André Mudry, Guillaume Zufferey Hardware/Software Coevolution of Genome Programs and Cellular Processors. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Abel Guilhermino Silva-Filho, Pablo Viana, Edna Barros, Manoel Eusébio de Lima Tuning Mechanism for Two-Level Cache Hierarchy Intended for Instruction Caches and Low Energy Consumption. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13I-Hsin Chung, Robert Walkup, Hui-Fang Wen, Hao Yu MPI tools and performance studies - MPI performance analysis tools on Blue Gene/L. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance tuning, performance tool
13Nachiketh R. Potlapally, Srivaths Ravi 0001, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha Impact of Configurability and Extensibility on IPSec Protocol Execution on Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Performance, Embedded Systems, Security Protocols, Configurability, Extensibility, Embedded Processors, IPSec, Embedded Security
13Sebastian Wallner Micro-Task Processing in Heterogeneous Reconfigurable Systems. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reconfigurable heterogeneous architectures, configuration instructions, system-on-chip, signal processing, descriptors, parallel processing system
13Chul Kim, A. M. Rassau, Stefan Lachowicz, Saeid Nooshabadi, Kamran Eshraghian 3D-SoftChip: A Novel 3D Vertically Integrated Adaptive Computing System. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Roman L. Lysecky, Kris Miller, Frank Vahid, Kees A. Vissers Firm-core Virtual FPGA for Just-in-Time FPGA Compilation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Ray C. C. Cheung, Wayne Luk, Peter Y. K. Cheung Reconfigurable Elliptic Curve Cryptosystems on a Chip. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John Effective Adaptive Computing Environment Management via Dynamic Optimization. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Sara Bouchenak, Fabienne Boyer, Daniel Hagimont, Sacha Krakowiak, Noel De Palma, Vivien Quéma, Jean-Bernard Stefani Architecture-Based Autonomous Repair Management: Application to J2EE Clusters. Search on Bibsonomy ICAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Mohammed Y. Niamat, Surya S. Hejeebu, Mansoor Alam A BIST Approach for Testing FPGAs Using JBITS. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Wilhelm Dangelmaier, Jens Heidenreich, Ulrich Pape Supply Chain Management: A Multi-Agent System for Collaborative Production Planning. Search on Bibsonomy EEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Johannes Meinecke, Martin Nussbaumer, Martin Gaedke Building Blocks for Identity Federations. Search on Bibsonomy ICWE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Daniel Lohmann, Wolfgang Schröder-Preikschat, Olaf Spinczyk The Design of Application-Tailorable Operating System Product Lines. Search on Bibsonomy CASSIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Sara Bouchenak, Fabienne Boyer, Sacha Krakowiak, Daniel Hagimont, Adrian Mos, Jean-Bernard Stefani, Noel De Palma, Vivien Quéma Architecture-Based Autonomous Repair Management: An Application to J2EE Clusters. Search on Bibsonomy SRDS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Greg Stitt, Frank Vahid, Shawn Nematbakhsh Energy savings and speedups from partitioning critical software loops to hardware in embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, embedded systems, synthesis, platforms, speedup, low energy, Hardware/software partitioning
13Chris Dick, Fred Harris 0001, Michael Rice FPGA Implementation of Carrier Synchronization for QAM Receivers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF carrier recovery, system generator, FPGA, synchronization, wireless communication, software define radio, CORDIC, QAM
13Gilles Pokam, François Bodin Energy-Efficiency Potential of a Phase-Based Cache Resizing Scheme for Embedded Systems. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Daniel Schneider 0008, Jano Moreira de Souza, Sergio P. J. Medeiros, Geraldo Xexéo CEJ - An Environment for Flexible Definition and Execution of Scientific Publication Processes. Search on Bibsonomy CSCWD (Selected papers) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13John M. D. Hill, Kenneth L. Alford A distributed task environment for teaching artificial intelligence with agents. Search on Bibsonomy SIGCSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF agent environments, artificial intelligence, intelligent agents
13Ernesto Exposito, Patrick Sénac, Michel Diaz UML-SDL modelling of the FPTP QoS oriented transport protocol. Search on Bibsonomy MMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF transport mechanisms, QoS, UML, congestion control, transport protocol, SDL
13Guangzhi Li, Dongmei Wang, Jennifer Yates, Robert D. Doverspike, Charles R. Kalmanek Detailed Study of IP/ Reconfigurable Optical Networks. Search on Bibsonomy BROADNETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Junfeng Wang 0008, Mingtian Zhou, Hongxia Zhou Providing Network Monitoring Service for Grid Computing. Search on Bibsonomy FTDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Nitin Deo, Behrooz Zahiri, Ivo Bolsens, Jason Cong, Bhusan Gupta, Philip Lopresti, Christopher B. Reynolds, Chris Rowen, Ray Simar What happened to ASIC?: Go (recon)figure? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Yang Xu 0017, Lawrence T. Pileggi, Stephen P. Boyd ORACLE: optimization with recourse of analog circuits including layout extraction. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization with recourse
13Konstantinos Tatas, Kostas Siozios, Nikolaos Vassiliadis, D. J. Soudris, Spiridon Nikolaidis 0001, Stilianos Siskos, Adonios Thanailakis FPGA Architecture Design and Toolset for Logic Implementation. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Antonio Cañas, Eva M. Ortigosa, Antonio F. Díaz, Julio Ortega 0001 XMLP: A Feed-Forward Neural Network with Two-Dimensional Layers and Partial Connectivity. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Hiroaki Takada, Shinya Honda, Reiji Nishiyama, Hiroshi Yuyama Hardware/Software Co-Configuration for Multiprocessor SoPC. Search on Bibsonomy WSTFES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Fabio Campi, Andrea Cappelli, Roberto Guerrieri, Andrea Lodi 0002, Mario Toma, Alberto La Rosa, Luciano Lavagno, Claudio Passerone, Roberto Canegallo A Reconfigurable Processor Architecture and Software Development Environment for Embedded Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Christian Siemers, Volker Winterstein Modelling Programmable Logic Devices and Reconfigurable, Microprocessor-Related Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13James R. Heath A systems approach to molecular electronics. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13S. Kameshwaran, Y. Narahari e-Procurement Using Goal Programming. Search on Bibsonomy EC-Web The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Shridhar Mubaraq Mishra, A. Guruprasad, Chun Feng Hu, Pramod K. Pandey, Ming Hung Wire-speed traffic management in Ethernet switches. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Joshua W. Haines, Stephen A. Goulet, Robert S. Durst, Terrence G. Champion LLSIM: Network Simulation for Correlation and Response Testing. Search on Bibsonomy DISCEX (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Greg Stitt, Roman L. Lysecky, Frank Vahid Dynamic hardware/software partitioning: a first approach. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF self-improving chips, FPGA, embedded systems, synthesis, dynamic optimization, system-on-a-chip, platforms, codesign, hardware/software partitioning
13Elias Procópio Duarte Jr., Luis Carlos Erpen De Bona A Dependable SNMP-based Tool for Distributed Network Management. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Vania Marangozova, Daniel Hagimont Non-functional Replication Management in the Corba Component Model. Search on Bibsonomy OOIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13William C. Athas, Lynn Youngs, Andrew Reinhart Compact models for estimating microprocessor frequency and power. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, low-power, microprocessors, ASIC, power estimation, curve-fitting, delay modeling
13Sandra Teixeira, Pedro Vicente, Alexandre S. Pinto, Hugo Miranda, Luís E. T. Rodrigues, Jorge Martins, António Rito Silva Configuring the Communication Middleware to Support Multi-user Object-Oriented Environments. Search on Bibsonomy OTM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Pawel T. Wojciechowski, Sergio Mena, André Schiper Semantics of Protocol Modules Composition and Interaction. Search on Bibsonomy COORDINATION The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Enrico Denti, Andrea Omicini, Alessandro Ricci tu Prolog: A Light-Weight Prolog for Internet Applications and Infrastructures. Search on Bibsonomy PADL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Matti A. Hiltunen, Richard D. Schlichting, Carlos A. Ugarte Enhancing Survivability of Security Services Using Redundanc. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Reiner W. Hartenstein Reconfigurable Computing: A New Business Model and its Impact on SoC Design. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Yann Bajot, Habib Mehrez Customizable DSP architecture for ASIP core design. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Jun He, Matti A. Hiltunen, Mohan Rajagopalan, Richard D. Schlichting Providing QoS Customization in Distributed Object Systems. Search on Bibsonomy Middleware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Oscal T.-C. Chen, Wei-Lung Liu An FIR processor with programmable dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Eddie Kohler, Robert Tappan Morris, Benjie Chen, John Jannotti, M. Frans Kaashoek The click modular router. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF software router performance, routers, component systems
13Khaldoun Ateyeh, Jutta A. Mülle, Peter C. Lockemann Modular Development of Multimedia Courseware. Search on Bibsonomy WISE (2) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Reusable courseware, courseware development, Hypermedia
13Joerg Abke, Erich Barke CoMGen: Direct Mapping of Arbitrary Components into LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Ricardo Salem Zebulum, Adrian Stoica, Didier Keymeulen A Flexible Model of a CMOS Field Programmable Transistor Array Targeted for Hardware Evolution. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Lev Kirischian Optimization of Parallel Task Execution on the Adaptive Reconfigurable Group Organized Computing System. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Reconfigurable architecture: FPGA, Architecture selection graph, Graph arrangement
13Samuel Z. Guyer, Calvin Lin Optimizing the Use of High Performance Software Libraries. Search on Bibsonomy LCPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Natalya Fridman Noy, Ray W. Fergerson, Mark A. Musen The Knowledge Model of Protégé-2000: Combining Interoperability and Flexibility. Search on Bibsonomy EKAW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Rameshsharma Ramloll, John A. Mariani Moksha: exploring ubiquity in event filtration-control at the multi-user desktop. Search on Bibsonomy WACC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multi-users desktop system, awareness, auditory display, common information space, multimedia browsing
13Pak K. Chan, Mark J. Boyd, Sezer Gören 0001, K. Klenk, V. Kodavati, R. Kundu, M. Margolese, J. Sun, Katsuharu Suzuki, E. Thorne, X. Wang, J. Xu, M. Zhu Reducing Compilation Time of Zhong's FPGA-Based SAT Solver. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Gordon S. Blair, Fábio M. Costa, Geoff Coulson, Hector A. Duran, Nikos Parlavantzas, Fabien Delpiano, Bruno Dumant, François Horn, Jean-Bernard Stefani The Design of a Resource-Aware Reflective Middleware Architecture. Search on Bibsonomy Reflection The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Mehrdad Nourani, Christos A. Papachristou A Bypass Scheme for Core-Based System Fault Testing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Kiran Bondalapati, Viktor K. Prasanna Mapping Loops onto Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Peixin Zhong, Margaret Martonosi, Pranav Ashar, Sharad Malik Solving Boolean Satisfiability with Dynamic Hardware Configurations. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Uwe Tangen, John S. McCaskill Hardware Evolution with a Massively Parallel Dynamically Reconfigurable Computer: POLYP. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Maya B. Gokhale, Janice M. Stone NAPA C: Compiling for a Hybrid RISC/FPGA Architecture. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13R. S. Fish, J. M. Graham, Roger J. Loader DRoPS: Kernel Support For Runtime Adaptable Protocols. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Narasimhan Ramasubramanian, Ram Subramanian, Santosh Pande Automatic Analysis of Loops to Exploit Operator Parallelism on Reconfigurable Systems. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Test Pattern and Test Configuration Generation Methodology for the Logic of RAM-Based FPGA. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA, VLSI, Test, CMOS, IC
13Uwe Tangen, Ludger Schulte, John S. McCaskill A parallel hardware evolvable computer POLYP. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Harold C. Forbes, Karsten Schwan Object technologies and real-time scheduling. Search on Bibsonomy OOPS Messenger The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Concurrent C
11Zhan Sun, Guangliang Hu, Yijie Wang 0002, Yueshi Guan, Dianguo Xu 0001 Analysis and Design of Configurable Rectifier With Compensated Near-Zero Impedance Angle for Megahertz Wireless Power Transfer. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Haiming Huang, Shijie Tang, Wenqing Chai, Fuchun Sun 0001, Di'en Wu, Shengyi Miao, Daming Zhong, Haobin Hou, Mingjie Dong MCSG: A Morphology Configurable Soft Gripper With Self-Adaption Modular Composite Finger. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Youzheng Wang, Hongchen Liu, Patrick W. Wheeler, Fengjiang Wu Implementation and Analysis of an Efficient Soft-Switching Battery Wireless Charger with Re-Configurable Rectifier. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mahabub Hasan Mahalat, Shyam Subba, Anindan Mondal, Biplab K. Sikdar, Rajat Subhra Chakraborty, Bibhash Sen CAPUF: Design of a configurable circular arbiter PUF with enhanced security and hardware efficiency. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Wisam Hayder Mahdi, Necmi Taspinar Bee System-Based Self Configurable Optimized Resource Allocation Technique in Device-to-Device (D2D) Communication Networks. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Rella Mareta, Ardianto Satriawan, Phap Duong-Ngoc, Hanho Lee A Bootstrapping-Capable Configurable NTT Architecture for Fully Homomorphic Encryption. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Pietro Nannipieri, Giacomo Bartolacci, Matteo Bertolucci, Luca Fanucci Design and Implementation of a Configurable Fully Compliant DVB-S2 LDPC Encoder for High Data-Rate Downlink Payload. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Seyed Ali Kashani Gharavi, Saeed Safari Performance Improvement of Processor Through Configurable Approximate Arithmetic Units in Multicore Systems. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ziyu Zhou, Pengjun Wang, Gang Li Bagua Protocol: A Whole-Process Configurable Protocol for IoT Sensing Devices Security Based on Strong PUF. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Si-Huang Liu, Chia-Yi Kuo, Yannan Mo, Tao Su An Area-Efficient, Conflict-Free, and Configurable Architecture for Accelerating NTT/INTT. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Jiaji Ma, Jin Hu 0006, Yang Liu 0106, Xiayu Wang, Dong Li, Rui Ma 0007, Zhangming Zhu A 64 × 64 Pixel Image Sensor With Gain-Configurable Photodiodes and Combined Subrange Method. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Sonya Crowe, Luca Grieco, Thomas Monks, Brad Keogh, Marion L. Penn, Mike Clancy, Samer Elkhodair, Cecilia Vindrola-Padros, Naomi J. Fulop, Martin Utley Here's something we prepared earlier: Development, use and reuse of a configurable, inter-disciplinary approach for tackling overcrowding in NHS hospitals. Search on Bibsonomy J. Oper. Res. Soc. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Noha Ahmed Bayomy, Ayman E. Khedr, Laila A. Abd-Elmegid A configurable mining approach for enhancing the business processes' performance. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Husam Kareem, Dmitriy Dunaev A novel low hardware configurable ring oscillator (CRO) PUF for lightweight security applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Nasrin Akhter, Md. Redowan Mahmud, Jiong Jin, Jason But, Iftekhar Ahmad, Yong Xiang 0001 Configurable Harris Hawks Optimisation for Application Placement in Space-Air-Ground Integrated Networks. Search on Bibsonomy IEEE Trans. Netw. Serv. Manag. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Alexander D. Fuchs, Johannes A. F. Lehmeyer, Heinz Junkes, Heiko B. Weber, Michael Krieger NOMAD CAMELS: Configurable Application for Measurements, Experiments and Laboratory Systems. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Qingyuan Wang 0002, Barry Cardiff, Antoine Frappé, Benoit Larras, Deepu John DyCE: Dynamic Configurable Exiting for Deep Learning Compression and Scaling. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mootez Saad, Tushar Sharma 0001 CONCORD: Towards a DSL for Configurable Graph Code Representation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Alberto Maria Metelli Performance Improvement Bounds for Lipschitz Configurable Markov Decision Processes. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ferhat Erata, Arda Goknil, Bedir Tekinerdogan, Geylani Kardas A Tool for Automated Reasoning About Traces Based on Configurable Formal Semantics. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Karolina Milano, Bruno B. P. Cafeo Navigating Expertise in Configurable Software Systems through the Maze of Variability. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Huan-Ke Hsu, I-Chyn Wey, T. Hui Teo SF-MMCN: A Low Power Re-configurable Server Flow Convolution Neural Network Accelerator. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Víctor Gallego Configurable Safety Tuning of Language Models with Synthetic Preference Data. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Shuming Shi 0001, Enbo Zhao, Deng Cai 0002, Leyang Cui, Xinting Huang, Huayang Li Inferflow: an Efficient and Highly Configurable Inference Engine for Large Language Models. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mohammad Ghufran, Sourish Tetakayala, Jack Hughes 0003, Aron Wilson, Hossein Rastgoftar Quadcopter Team Configurable Motion Guided by a Quadruped. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 4812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license