The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent waveform propagation for static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Chanseok Hwang, Massoud Pedram Interconnect design methods for memory design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Enyue Lu, S. Q. Zheng 0001 Parallel Routing Algorithms for Nonblocking Electronic and Photonic Multistage Switching Networks. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Tao Feng, Byoungjae Jin, J. Wang, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi Fault tolerant clockless wave pipeline design. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless wave pipeline, inter-wave fault, intra-wave fault, fault tolerance, reliability
17Daniele Rossi 0001, A. Muccio, André K. Nieuwland, Atul Katoch, Cecilia Metra Impact of ECCs on Simultaneously Switching Output Noise for On-Chip Busses of High Reliability Systems. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Enyue Lu, S. Q. Zheng 0001 Parallel Routing and Wavelength Assignment for Optical Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Selam T. Ahderom, Mehrdad Raisi, Kamal E. Alameh, Kamran Eshraghian Testing and Analysis of Computer Generated Holograms for MicroPhotonic Devices. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sachin Shrivastava, Dhanoop Varghese, Vikas Narang, N. V. Arvind Improved Approach for Noise Propagation to Identify Functional Noise Violations. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware interconnect power optimization in domino logic synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Atsushi Sakai, Takashi Yamada, Yoshifumi Matsushita, Hiroto Yasuura Reduction of coupling effects by optimizing the 3-D configuration of the routing grid. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Prashant Saxena, Satyanarayan Gupta On integrating power and signal routing for shield count minimization in congested regions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Joel Grodstein, Dilip K. Bhavsar, Vijay Bettada, Richard A. Davies Automatic Generation of Critical-Path Tests for a Partial-Scan Microprocessor. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Ken Yamamoto, Minoru Fujishima, Koichiro Hoh Optimization of shield structures in analog integrated circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Marcel Gabrea Two microphones speech enhancement system based on a double affine projection algorithm. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Noise-constrained interconnect optimization for nanometer technologies. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Patrick Gebhard, Michael Kipp, Martin Klesen, Thomas Rist Authoring scenes for adaptive, interactive performances. Search on Bibsonomy AAMAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF authoring, embodied agents, user adaptivity, believability, virtual theater
17Yonghee Im, Kaushik Roy 0001 O2ABA: a novel high-performance predictable circuit architecture for the deep submicron era. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Hannu Tenhunen, Dinesh Pamunuwa On dynamic delay and repeater insertion. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Liang C. Chu, Martin A. Brooke An enhancement study on the SDSL upstream receiver. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Dinesh Pamunuwa, Hannu Tenhunen Repeater Insertion To Minimise Delay In Coupled Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware power optimization for on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Erik A. McShane, Krishna Shenai Correct-by-Design CAD Enhancement for EMI Signal Integrity. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Gin Yee, Tyler Thorp, Ron Christopherson, Ban P. Wang, Carl Sechen An Automated Shielding Algorithm and Tool For Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Lieven Vandenberghe, Stephen P. Boyd, Abbas A. El Gamal Optimizing dominant time constant in RC circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Arthur K. Liu, John W. Belliveau, Anders M. Dale Visualizing Spatial Resolution of Linear Estimation Techniques of Electromagnetic Brain Activity Localization. Search on Bibsonomy MICCAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Jun Dong Cho, Majid Sarrafzadeh, Mysore Sriram, Sung-Mo Kang High-Performance MCM Routing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Daniele Rossi 0001, Paolo Angelini, Cecilia Metra, Giovanni Campardo, Gian Pietro Vanalli Risks for Signal Integrity in System in Package and Possible Remedies. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Crosstalk, Error Detecting Codes, Signal Integrity, System in Package
15Azad Naeemi, James D. Meindl Carbon nanotube interconnects. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quantum wires, crosstalk, inductance, repeaters, molecular electronics, system analysis and design, system optimization
15Nallamothu Satyanarayana, Madhu Mutyam, A. Vinaya Babu Exploiting on-chip data behavior for delay minimization. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coding, crosstalk, deep-submicron
15Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
15Rajeshwary Tayade, Vijay Kiran Kalyanam, Sani R. Nassif, Michael Orshansky, Jacob A. Abraham Estimating path delay distribution considering coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic delay variation, coupling, crosstalk
15Debasish Das, Ahmed Shebaita, Yehea I. Ismail, Hai Zhou 0001, Kip Killpack NostraXtalk: a predictive framework for accurate static timing analysis in udsm vlsi circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, crosstalk, static timing analysis
15Tao Wu, Arun K. Somani Attack monitoring and localization in All-Optical Networks. Search on Bibsonomy Clust. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AON, Monitor, Attack, Crosstalk
15Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
15K. Najeeb, Vishal Gupta, V. Kamakoti 0001, Madhu Mutyam Delay and peak power minimization for on-chip buses using temporal redundancy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power, coding, crosstalk
15Hanif Fatemi, Shahin Nazarian, Massoud Pedram Statistical logic cell delay analysis using a current-based model. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical gate timing analysis, process variations, crosstalk noise
15Sung Kyu Lim Physical Design for 3D System on Package. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3D packaging, thermal distribution, crosstalk, placement and routing, decoupling capacitors, System-On-Package
15Di Wu 0017, Jiang Hu, Rabi N. Mahapatra Coupling aware timing optimization and antenna avoidance in layer assignment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, interconnect, probabilistic modeling, physical design, crosstalk, design for manufacturability (DFM), antenna effect
15Liang Zhang 0038, John M. Wilson 0002, Rizwan Bashirullah, Lei Luo 0006, Jian Xu, Paul D. Franzon Driver pre-emphasis techniques for on-chip global buses. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF current sensing, peak current, pre-emphasis, low-power, crosstalk, differential, on-chip bus
15Zaid Al-Ars, Martin Herzog, Ivo Schanstra, Ad J. van de Goor Influence of Bit Line Twisting on the Faulty Behavior of DRAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Bit line twisting, bit line coupling, DRAMs, crosstalk noise, defect simulation, faulty behavior
15Srinivasa R. Sridhara, Naresh R. Shanbhag Coding for system-on-chip networks: a unified framework. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bus coding, crosstalk avoidance, low-power, error-correcting codes, low-swing
15Yuanyuan Yang 0001, Jianchao Wang Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange
15Murat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda Signal integrity management in an SoC physical design flow. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF noise avoidance, noise repair, signal integrity, crosstalk noise
15Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer TA-PSV - Timing Analysis for Partially Specified Vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing analysis for partially specified vectors (TA-PSV), crosstalk test generation (ATPG), static timing analysis (STA), delay model
15Murat R. Becer, Rajendran Panda, David T. Blaauw, Ibrahim N. Hajj Pre-route Noise Estimation in Deep Submicron Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Crosstalk noise estimation, pre-route, congestion
15Yuanyuan Yang 0001, Jianchao Wang Routing Permutations with Link-Disjoint and Node-Disjoint Paths in a Class of Self-Routable Networks. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF link-disjoint paths, crosstalk-free routing, Routing, interconnects, permutation, optical interconnects, multistage networks, node-disjoint paths, Latin square, all-to-all personalized exchange
15Lauren Hui Chen, Malgorzata Marek-Sadowska Aggressor alignment for worst-case coupling noise. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF aggressor alignment, interconnect coupling, signal integrity, crosstalk noise, timing window
15Li-Rong Zheng 0001, Hannu Tenhunen Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal VLSI Circuits. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed-Signal VLSI, Interconnection, Crosstalk, Noise Margin
15Kenneth L. Shepard, Vinod Narayanan Noise in deep submicron digital design. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF static analysis, noise, crosstalk, inductance, CMOS circuits, noise margins
12Chen Wei, Xiaole Cui, Xiaoxin Cui Dy-MFNS-CAC: An Encoding Mechanism to Suppress the Crosstalk and Repair the Hard Faults in Rectangular TSV Arrays. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Chuanxin Teng, Xinjing Li, Rui Min, Shijie Deng, Zujun Qin, Libo Yuan, Xuehao Hu Low Crosstalk Plastic Optical Fiber-Based Dual-Parameter SPR Sensor With Stepped Side-Polished Structure and Differentiated Au-Film Thickness. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Pengfei Wang, Hongjuan Zhang, Pengwei Guo, Yu Wang 0048, Xin Liu, Yan Gao, Bao-quan Jin Partial Discharge Measurement for Power Cable Using Crosstalk-Free FDM-DAS. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Leilei Jin, Jiajie Xu, Wenjie Fu, Hao Yan, Longxing Shi A Crosstalk-Aware Timing Prediction Method in Routing. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Sovanmonynuth Heng, Myeongseong Go, Youngsun Han Estimating the Effect of Crosstalk Error on Circuit Fidelity Using Noisy Intermediate-Scale Quantum Devices. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Imran Ahmed, Roshan Kumar Rai, Mukulika Maity, Eiji Oki, Bijoy Chand Chatterjee AnalyticalBP: Analytical Model for Blocking Probabilities Considering Crosstalk-Avoided Approach in Spectrally-Spatially Elastic Optical Networks. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Zemeng Chen, Xinliang Cao, Xianglin Li, Boan Pan, Pengbo Wang, Ting Li A Novel Approach to Evaluating Crosstalk for Near-Infrared Spectrometers. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Mesut-Ömür Özden, Giuseppe Barbieri, Martina Gerken A Combined Magnetoelectric Sensor Array and MRI-Based Human Head Model for Biomagnetic FEM Simulation and Sensor Crosstalk Analysis. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Liping Zhong, Hongzhi Wu, Yangyi Zhang, Xuxu Cheng, Weitao Wu, Catherine Wang, Xiongshi Luo, Taiyang Fan, Dongfan Xu, Quan Pan 0002 7.6 A 112Gb/s/pin Single-Ended Crosstalk-Cancellation Transceiver with 31dB Loss Compensation in 28nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Weitao Wu, Hongzhi Wu, Liping Zhong, Xuxu Cheng, Xiongshi Luo, Dongfan Xu, Catherine Wang, Zhenghao Li, Quan Pan 0002 13.5 A 64Gb/s/pin PAM4 Single-Ended Transmitter with a Merged Pre-Emphasis Capacitive-Peaking Crosstalk-Cancellation Scheme for Memory Interfaces in 28nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Soumen Bajpayee, Imon Mukherjee Analysis of the Effects of Crosstalk Errors on Various Quantum Circuits. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Shubham Pande, Bhaswar Chakrabarti, Anjan Chakravorty Thermal Crosstalk Analysis in ReRAM Passive Crossbar Arrays. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Tanusree Kaibartta, Hitarth Arora, Debesh Kumar Das Genetic Algorithm Based Efficient Grouping Technique for Post Bond Test and Crosstalk Faults Among TSVs. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Linshan Zhao, Hua Qian, Weifeng Feng, Mingqi Li, Kai Kang A Low-Complexity Digital Predistorter for Large-Scale MIMO Systems With Crosstalk. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Chengmin Li, Jing Sheng, Drazen Dujic Reliable Gate Driving of SiC MOSFETs With Crosstalk Voltage Elimination and Two-Step Short-Circuit Protection. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Qian Liu, Li Du, Yuan Du A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk Cancellation Coding Scheme for High-Density Interconnects. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xingjie Zhao, Yunkai Deng, Heng Zhang 0007, Xiuqing Liu A Channel Imbalance Calibration Scheme with Distributed Targets for Circular Quad-Polarization SAR with Reciprocal Crosstalk. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Shengyu Zhang, Kwan L. Yeung Revisiting the modulation format selection problem in crosstalk-aware SDM-EONs. Search on Bibsonomy Comput. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Kenta Takeda, Takehiro Sato, Bijoy Chand Chatterjee, Eiji Oki Lightpath provisioning model considering crosstalk-derived fragmentation in spectrally-spatially elastic optical networks. Search on Bibsonomy Comput. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Milad Mehri Analytic estimation of jitter and eye diagram based on transmission line time domain response considering skin effect and stochastic crosstalk. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lívia M. De R. Raggi, Gennaro Boccia, Luca Mesin Reduction of Crosstalk in the Electromyogram: Experimental Validation of the Optimal Spatio-Temporal Filter. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Donglin Xu, Ming Yang 0006, Kaiyuan Hu, Dianguo Xu 0001 A Transistor-Based Assist Gate Driver of SiC MOSFET for Crosstalk Suppression in a Phase-Leg Configuration. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ahmad Patooghy, Mehdi Elahi, Maral Filvan Torkaman, Sara Sezavar Dokhtfaroughi, Ramin Rajaei Addressing Benign and Malicious Crosstalk in Modern System-on-Chips. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sabiju Valiya Valappil, Johannes F. L. Goosen, Alejandro M. Aragón Phononic Crystals for Suppressing Crosstalk in Ultrasonic Flowmeters. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Bolin Cai, Chenen Tong, Qiujie Wu, Xiangcheng Chen Crosstalk Suppression for Color Phase-Shifting Profilometry Based on Chord Distribution Equalization. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Stephen P. Chapman, Estelle Duprez, Elisabeth Remy Logical modelling of myelofibrotic microenvironment predicts dysregulated progenitor stem cell crosstalk. Search on Bibsonomy Biosyst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Honai Ueoka, Takehiro Sato, Eiji Oki Inter-Core Crosstalk-Aware Backup Network Design Model against Probabilistic Link Failures in Multi-Core Fiber Optical Path Network. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ryosuke Murai, Masafumi Watanabe, Ryo Sudo Analysis of Inward Vascular Remodeling Focusing on Endothelial-Perivascular Crosstalk in a Microfluidic Device. Search on Bibsonomy J. Robotics Mechatronics The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ali Rizik, Alessandro Battaglia, Frederic Tridon, Filippo Emilio Scarsi, Anton Kötsche, Heike Kalesse-Los, Maximilian Maahn, Anthony Illingworth Impact of Crosstalk on Reflectivity and Doppler Measurements for the WIVERN Polarization Diversity Doppler Radar. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Wu Zhou, Mingsen Lin, Wei Li 0203, Xiaobin Yin, Yinan Li, Xi Li 0008, Qingxia Li, Shishuai Wang, Rui Yu HY-2B SMR's Sea Surface Temperature Retrieval Considering Parameter Crosstalk. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yong-Un Jeong, Sungphil Choi, Suhwan Kim, Joo-Hyung Chae Single-Ended Receiver-Side Crosstalk Cancellation With Independent Gain and Timing Control for Minimum Residual FEXT. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Thakshanth Uthayakumar, Abubaker Abdelhafiz, Xianbin Wang 0001, Ming Jian Scalable Single-Input Behavioral Modeling Architecture for MIMO Systems With Crosstalk. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Gain Kim Far-End Crosstalk Cancellation With MIMO OFDM for >200 Gb/s ADC-Based Serial Links. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuanwei Li, En Zhu, Hang Chen, Jiyong Tan, Li Shen 0007 Dense Crosstalk Feature Aggregation for Classification and Localization in Object Detection. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuqi Sheng, Jiashuo Wu, Xiangmei Li, Jiayue Qiu, Ji Li, Qinyu Ge, Liang Cheng 0006, Junwei Han iATMEcell: identification of abnormal tumor microenvironment cells to predict the clinical outcomes in cancer based on cell-cell crosstalk network. Search on Bibsonomy Briefings Bioinform. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Dayong Wu, Gang Lv, Quanyi Yu, Shengbao Yu Uncertainty Quantification of Crosstalk for MTLs in the Context of Industry 4.0 Based on Data-Driven Polynomial Chaos Expansion. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xiaole Cui, Chen Wei, Xu Feng, Xiaoxin Cui Mosaic-3C1S: A Low Overhead Crosstalk Suppression Scheme for Rectangular TSV Array. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Andrew D. Loveless, Linh Thi Xuan Phan, Lisa Erickson, Ronald G. Dreslinski, Baris Kasikci CrossTalk: Making Low-Latency Fault Tolerance Cheap by Exploiting Redundant Networks. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Prerana Samant, Naveen Kumar Macha, Mostafizur Rahman A Neoteric Approach for Logic with Embedded Memory Leveraging Crosstalk Computing. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Gurijala Deepthi, Madhavi Tatineni Crosstalk analysis of dielectric inserted side contact multilayer graphene nanoribbon interconnects for ternary logic system using unconditionally stable FDTD model. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ganesh Prasad, Håkan Johansson A Novel Hybrid Post-Weighting Digital Predistortion in mMIMO Under Crosstalk. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Amin Shafiee, Sanmitra Banerjee, Krishnendu Chakrabarty, Sudeep Pasricha, Mahdi Nikdast Analysis of Optical Loss and Crosstalk Noise in MZI-based Coherent Photonic Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Shubham Pande, Bhaswar Chakrabarti, Anjan Chakravorty Thermal Crosstalk Analysis in RRAM Passive Crossbar Arrays. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Haijun Xia, Tony Wang, Aditya Gunturu, Peiling Jiang, William Duan, Xiaoshuo Yao CrossTalk: Intelligent Substrates for Language-Oriented Interaction in Video-Based Communication and Collaboration. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuya Seki, Yosuke Tanigawa, Yusuke Hirota, Hideki Tode Core and spectrum allocation to achieve graceful degradation of inter-core crosstalk with generalized hierarchical core prioritization on space-division multiplexing elastic optical networks. Search on Bibsonomy JOCN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Muhammad Ajmal, Masood Ur Rehman 0003, B. G. Rodrigues Improved asymptotically optimal error correcting codes for avoidance crosstalk type-IV on-chip data buses. Search on Bibsonomy Comput. Appl. Math. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zhijin Guan, Renjie Liu, Xueyun Cheng, Shiguang Feng, Pengcheng Zhu 0002 Suppression of Crosstalk in Quantum Circuit Based on Instruction Exchange Rules and Duration. Search on Bibsonomy Entropy The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Kaito Tomari, Jun Yoneda, Tetsuo Kodera Contact Pad Design Considerations for Semiconductor Qubit Devices for Reducing On-Chip Microwave Crosstalk. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Kota Shiba, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda Crosstalk Analysis and Countermeasures of High-Bandwidth 3D-Stacked Memory Using Multi-Hop Inductive Coupling Interface. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Bowen Chen, Yu Lei, Jingwen Hu, Ling Liu, Weike Ma, Jinbing Wu, Mingyi Gao, Weidong Shao, Pin-Han Ho Crosstalk-Sensitive Core and Spectrum Assignment in MCF-Based SDM-EONs. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Guiguo Ge, Xi Chen, Jinting Li, Danfang Zhang, Meng He, Wenzhang Wang, Yang Zhou, Jiaqi Zhong, Biao Tang, Jie Fang, Jin Wang, Mingsheng Zhan Accuracy Improvement of a Compact 85Rb Atom Gravimeter by Suppressing Laser Crosstalk and Light Shift. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license