The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Thomas Schilling, Magnus Själander, Per Larsson-Edefors Scheduling for an Embedded Architecture with a Flexible Datapath. Search on Bibsonomy ISVLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Johann Großschädl, Erkay Savas, Kazim Yumbul Realizing Arbitrary-Precision Modular Multiplication with a Fixed-Precision Multiplier Datapath. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Bit-serial multiplier architecture, Scalability, Montgomery modular multiplication
17Reto Zimmermann Datapath Synthesis for Standard-Cell Design. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Martin Langhammer, Tom VanCourt FPGA Floating Point Datapath Compiler. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance computing, optimization, FPGA, floating point
17Bijan Alizadeh, Masahiro Fujita Improved heuristics for finite word-length polynomial datapath optimization. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bo Marr, Brian P. Degnan, Paul E. Hasler, David V. Anderson An Asynchronously Embedded Datapath for Performance Acceleration and Energy Efficiency. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Saeed Fouladi Fard, Amirhossein Alimohammad, Bruce F. Cockburn, Christian Schlegel High path-count multirate Rayleigh fading channel simulator with time-multiplexed datapath. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sotirios Xydis, Ioannis Triantafyllou, George Economakos, Kiamal Z. Pekmestzi Flexible Datapath Synthesis through Arithmetically Optimized Operation Chaining. Search on Bibsonomy AHS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sohan Purohit, Marco Lanuzza, Stefania Perri, Pasquale Corsonello, Martin Margala Design-Space Exploration of Energy-Delay-Area Efficient Coarse-Grain Reconfigurable Datapath. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Novel Register Sharing in Datapath for Structural Robustness against Delay Variation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Takayuki Obata, Mineo Kaneko Simultaneous Optimization of Skew and Control Step Assignments in RT-Datapath Synthesis. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shubhajit Roy Chowdhury, Dipankar Chakrabarti, Hiranmay Saha FPGA realization of a smart processing system for clinical diagnostic applications using pipelined datapath architectures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Doochul Shin, Sandeep K. Gupta 0001 A Re-design Technique for Datapath Modules in Error Tolerant Applications. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Martin Langhammer High performance matrix multiply using fused datapath operators. Search on Bibsonomy ACSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kieron Turkington, George A. Constantinides, Peter Y. K. Cheung, Konstantinos Masselos Co-optimisation of datapath and memory in outer loop pipelining. Search on Bibsonomy FPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Takayuki Obata, Mineo Kaneko Concurrent skew and control step assignments in RT-level datapath synthesis. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shal Vishal Synthesis of dual-rail datapath circuits. Search on Bibsonomy 2008   RDF
17Zoran Salcic, George G. Coghill, R. Bruce Maunder A genetic algorithm high-level optimizer for complex datapath and data-flow digital systems. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Antonio M. Lopez Jr., Frederick G. Lopez, Robert W. Lent, Madonna G. Constantine Multidisciplinary research on the datapath of the computing disciplines. Search on Bibsonomy Commun. ACM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Justin Davis, Robert B. Reese Finite State Machine Datapath Design, Optimization, and Implementation Search on Bibsonomy 2007   DOI  RDF
17Makoto Ikeda, Ken Ishii, Taku Sogabe, Kunihiro Asada Datapath Delay Distributions for Data/Instruction against PVT Variations in 90nm CMOS. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Arash Ahmadi, Mark Zwolinski Multiple-Width Bus Partitioning Approach to Datapath Synthesis. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Simone Medardoni, Davide Bertozzi, Luca Benini, Enrico Macii Control and datapath decoupling in the design of a NoC switch: area, power and performance implications. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Nagaraju Pothineni, Anshul Kumar, Kolin Paul Application Specific Datapath Extension with Distributed I/O Functional Units. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Saraju P. Mohanty, Ramakrishna Velagapudi, Elias Kougianos Physical-aware simulated annealing optimization of gate leakage in nanoscale datapath circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mazen A. R. Saghir, Mohamad El-Majzoub, Patrick Akl Datapath and ISA Customization for Soft VLIW Processors. Search on Bibsonomy ReConFig The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17John Lofgren, Josefina Hobbs, John McCardle PSeuDoFFIL: Power Saving Datapath FiFo Insertion Logic. Search on Bibsonomy CGVR The full citation details ... 2006 DBLP  BibTeX  RDF
17Saraju P. Mohanty, Elias Kougianos, Ramakrishna Velagapudi, Valmiki Mukherjee Scheduling and binding for low gate leakage nanoCMOS datapath circuit synthesis. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Cid C. de Souza, André M. Lima, Guido Araujo, Nahri Moreano The datapath merging problem in reconfigurable systems: Complexity, dual bounds and heuristic evaluation. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Data path merging, heuristics, lower bounds, reconfigurable systems
17Mile K. Stojcev Digital Computer Arithmetic Datapath Design Using Verilog HDL, James E. Stine, Kluwer Academic Publishers, Boston, 2004, ISBN 1-4020-7710-6. Hardcover, pp 180, plus XI. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Saraju P. Mohanty, Nagarajan Ranga Ranganathan Simultaneous peak and average power minimization during datapath scheduling. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Eckhard Grass, Frank Winkler 0001, Milos Krstic, Alexandra Julius, Christian Stahl, Maxim Piz Enhanced GALS Techniques for Datapath Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ali Manzak Temperature Aware Datapath Scheduling. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Konstantinos Katsoulakis, Tughrul Arslan, Tony Kirkham, Sami Khawam A Low-Power Reconfigurable Datapath for Advanced Speech Coding Algorithms. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yee William Li, George Patounakis, Kenneth L. Shepard, Steven M. Nowick High-throughput asynchronous datapath with software-controlled voltage scaling. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nemanja Isailovic, Mark Whitney, Yatish Patel, John Kubiatowicz, Dean Copsey, Frederic T. Chong, Isaac L. Chuang, Mark Oskin Datapath and control for quantum wires. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Architecture, Control, Layout
17Bob Moore, David Durham, John Strassner, Andrea Westerinen, Walter Weiss Information Model for Describing Network Device QoS Datapath Mechanisms. Search on Bibsonomy RFC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sumeet Kumar, Prateek Pujara, Aneesh Aggarwal Bit-Sliced Datapath for Energy-Efficient High Performance Microprocessors. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ingmar Neumann, Dominik Stoffel, Kolja Sulimma, Michel R. C. M. Berkelaar, Wolfgang Kunz Layout Driven Optimization of Datapath Circuits using Arithmetic Reasoning. Search on Bibsonomy MBMV The full citation details ... 2004 DBLP  BibTeX  RDF
17Andy Gean Ye, Jonathan Rose Using multi-bit logic blocks and automated packing to improve field-programmable gate array density for implementing datapath circuits. Search on Bibsonomy FPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Hongtu Jiang, Viktor Öwall FPGA implementation of controller-datapath pair in custom image processor design. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
17Masanori Hashimoto, Yoshiteru Hayashi, Hidetoshi Onodera Experimental Study on Cell-Base High-Performance Datapath Design. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2003 DBLP  BibTeX  RDF
17Nico Kasprzyk, Andreas Koch 0001, Ulrich Golze, Michael Rock An Improved Intermediate Representation for Datapath Generation. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
17V. V. Iyer Comparison of Verification Methodologies for Datapath Testing. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jürgen Becker 0001, Alexander Thomas, Maik Scheer Asynchronous Integration of Coarse-Grained Reconfigurable XPP-Arrays Into Pipelined Risc Processor Datapath. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jürgen Becker 0001, Alexander Thomas, Maik Scheer Datapath and Compiler Integration of Coarse-grain Reconfigurable XPP-Arrays into Pipelined RISC Processors. Search on Bibsonomy VLSI-SOC The full citation details ... 2003 DBLP  BibTeX  RDF
17Merav Aharoni, Sigal Asaf, Laurent Fournier, Anatoly Koyfman, Raviv Nagel FPgen - a test generation framework for datapath floating-point verification. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Michiko Inoue, Kazuhiro Suzuki, Hiroyuki Okamoto, Hideo Fujiwara Test Synthesis for Datapaths Using Datapath-Controller Functions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hierarchical test generation, non-scan design, design-for-testability, at-speed testing, RTL circuit
17Carsten Gremzow, E. Hansen, Nico Moser, Hans-Ulrich Post Datapath constrained High-Level Synthesis of Central Memory Architectures. Search on Bibsonomy MBMV The full citation details ... 2003 DBLP  BibTeX  RDF
17Saraju P. Mohanty, Nagarajan Ranganathan, Sunil K. Chappidi Transient power minimization through datapath scheduling in multiple supply voltage environment. Search on Bibsonomy ICECS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kiyoshi Oguri, Yuichiro Shibata, Akira Nagoya Asynchronous Bit-Serial Datapath for Object-Oriented Reconfigurable Architecture PCA. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Andy Gean Ye, Jonathan Rose, David M. Lewis Architecture of datapath-oriented coarse-grain logic and routing for FPGAs. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Loganathan Lingappan, Srivaths Ravi 0001, Niraj K. Jha Test Generation for Non-separable RTL Controller-datapath Circuits using a Satisfiability based Approach. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Petros Oikonomakos, Mark Zwolinski Foundation of Combined Datapath and Controller Self-checking Design. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Tony Kirkham, Tughrul Arslan, Fred Westall, David H. Crawford A low power datapath for algebraic codebook search targeting a generic GSM system-on-chip platform. Search on Bibsonomy SoC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Gary William Grewal, Mike O'Cleirigh, Charlie Obimbo Hierarchical Genetic Algorithms Applied to Datapath Synthesis. Search on Bibsonomy IC-AI The full citation details ... 2003 DBLP  BibTeX  RDF
17Sérgio G. Araújo, Antonio Carneiro de Mesquita Filho, Aloysio Pedroza Optimized Datapath Design by Evolutionary Computation. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Eric S. Fetzer, Mark Gibson, Anthony Klein, Naomi Calick, Chengyu Zhu, Eric Busta, Baker Mohammad A fully bypassed six-issue integer datapath and register file on the Itanium-2 microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Marie-Lise Flottes, Bruno Rouzeyre, Laurent Volpe Improving Datapath Testability by Modifying Controller Specification. Search on Bibsonomy VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Suresh Raman, Sachin S. Sapatnekar, Charles J. Alpert Probability-driven routing in a datapath environment. Search on Bibsonomy Integr. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Takahiro Kakimoto, Hiroyuki Ochi, Takao Tsuda Datapath-Layout-Driven Design for Low-Power Standard-Cell LSI Implementation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
17Joerg Abke, Erich Barke A Direct Mapping System for Datapath Module and FSM Implementation into LUT-Based FPGAs . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Nicholas Weaver, John Wawrzynek The Effects of Datapath Placement and C-Slow Retiming on Three Computational Benchmarks. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Masayuki Miyama, Osamu Tooyama, N. Takamatsu, T. Kodake, K. Nakamura, A. Kato, Junichi Miyakoshi, K. Hashimoto, Shigenobu Komatsu, M. Yagi, Masao Morimoto, Kazuo Taki, Masahiko Yoshimoto An ultra low power, realtime MPEG2 MP@HL motion estimation processor core with SIMD datapath architecture optimized for gradient descent search algorithm. Search on Bibsonomy CICC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Herman Schmit, David Whelihan, Andrew Tsai, Matthew Moe, Benjamin A. Levine, R. Reed Taylor PipeRench: A virtualized programmable datapath in 0.18 micron technology. Search on Bibsonomy CICC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Andy Gean Ye, Jonathan Rose, David M. Lewis Synthesizing datapath circuits for FPGAs with emphasis on area minimization. Search on Bibsonomy FPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Wang Jiang Chau, Jose Artur Quilici González, Marius Strum, Ricardo Pires BIST Plan Optimization and Independent Input Test Register Insertion for Datapath Functional Units. Search on Bibsonomy LATW The full citation details ... 2002 DBLP  BibTeX  RDF
17Masanori Hashimoto, Yashiteru Hayashi, Hidetoshi Onodera Experimental Study on Cell-Base High-Performance Datapath Design. Search on Bibsonomy IWLS The full citation details ... 2002 DBLP  BibTeX  RDF
17Raik Brinkmann, Rolf Drechsler RTL-Datapath Verification using Integer Linear Programming. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17George A. Constantinides, Peter Y. K. Cheung, Wayne Luk Heuristic datapath allocation for multiple wordlength systems. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Tatjana Serdar, Carl Sechen Automatic datapath tile placement and routing. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Sabyasachi Das, Sunil P. Khatri A regularity-driven fast gridless detailed router for high frequency datapath designs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Lijun Gao, Keshab K. Parhi Models for power consumption and power grid noise due to datapath transition activity. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Mihalis Psarakis, Antonis M. Paschalis, Nektarios Kranitis, Dimitris Gizopoulos, Yervant Zorian Robust and Low-Cost BIST Architectures for Sequential Fault Testing in Datapath Multipliers. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Anmol Mathur, Sanjeev Saluja Improved Merging of Datapath Operators using Information Content and Required Precision Analysis. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Steven R. Carlough, Robert A. Philhower, Cliff A. Maier, Sam A. Steidl, Pete M. Campbell, Atul Garg, Kyung-Suc Nah, Matthew W. Ernest, James R. Loy, Thomas W. Krawczyk Jr., Peter F. Curran, Russell P. Kraft, Hans J. Greub, John F. McDonald 0001 A 2-GHz clocked AlGaAs/GaAs HBT byte-slice datapath chip. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Han Bin Kim, Dong Sam Ha, Takeshi Takahashi 0003, Takahiro J. Yamaguchi A new approach to built-in self-testable datapath synthesis based on integer linear programming. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Reiner W. Hartenstein, Thomas Hoffmann 0001, Ulrich Nageldinger Design-Space Exploration of Low Power Coarse Grained Reconfigurable Datapath Array Architectures. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Laurence Goodby, Alex Orailoglu Test Quality and Fault Risk in Digital Filter Datapath BIST. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17David Berthelot, Marie-Lise Flottes, Bruno Rouzeyre A method for trading off test time, area and fault coverage in datapath BIST synthesis. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Reiner W. Hartenstein, Michael Herz, Thomas Hoffmann 0001, Ulrich Nageldinger KressArray Xplorer: a new CAD environment to optimize reconfigurable datapath array. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Suresh Raman, Sachin S. Sapatnekar, Charles J. Alpert Datapath routing based on a decongestion metric. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Hyung-Joon Kwon, Young-Beom Jang, Bangwon Lee A Finite Field Processor Employing Dual Parallel Datapath for High-Speed/Low-Power RS-ECC Applications. Search on Bibsonomy IEEE International Conference on Multimedia and Expo (III) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Marek Wróblewski, Sven Simon 0001, Josef A. Nossek Low power transformation of datapath architectures with cyclic SFGs. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Marie-Lise Flottes, Bruno Rouzeyre, Laurent Volpe A controller resynthesis based method for improving datapath testability. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Ming-Luen Liou, Tzi-Dar Chiueh A parametric module design framework and its application to gate-level datapath/DSP module synthesis. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17V. A. Zivkovic, Ronald J. W. T. Tangelder, H. G. Herkhoff The Test-Cycle Minimization in Parameterized Bus-Oriented Datapath Designs. Search on Bibsonomy LATW The full citation details ... 2000 DBLP  BibTeX  RDF
17Katarzyna Radecka, Zeljko Zilic Using Arithmetic Transform for Verification of Datapath Circuits via Error Modeling. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF arithmetic transforms, functional verification, arithmetic circuits
17Hema Kapadia, Luca Benini, Giovanni De Micheli Reducing switching activity on datapath buses with control-signal gating. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo A 56-bit self-timed adder for high speed asynchronous datapath. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Durgam Vahia, Maciej J. Ciesielski Transistor level placement for full custom datapath cell design. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Sven Simon 0001, Marek Wróblewski Low power datapath design using transformation similar to temporal localization of SFGs. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Joon-Seo Yim, Chong-Min Kyung Reducing Cross-Coupling Among Interconnect Wires in Deep-Submicron Datapath Design. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17R. X. T. Nijssen, C. A. J. van Eijk GreyHound: A methodology for utilizing datapath regularity in standard design flows. Search on Bibsonomy Integr. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Tsuyoshi Isshiki, Takenobu Shimizugashira, Akihisa Ohta, Imanuddin Amril, Hiroaki Kunieda A New FPGA Architecture for High-Performance bit-Serial Pipeline Datapath (Abstract). Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Tsuyoshi Isshiki, Takenobu Shimizugashira, Akihisa Ohta, Imanuddin Amril, Hiroaki Kunieda FPGA for High-Performance Bit-Serial Pipeline Datapath. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Amit Chowdhary, Sudhakar Kale, Phani K. Saripella, Naresh Sehgal, Rajesh K. Gupta 0001 A general approach for regularity extraction in datapath circuits. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Hema Kapadia, Giovanni De Micheli, Luca Benini Reducing switching activity on datapath buses with control-signal gating. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Javier D. Bruguera, Tomás Lang Leading-one prediction scheme for latency improvement in single datapath floating-point adders. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Carina Ben-Zvi, Patrick McGuinness, Franklin Lassandro An effective datapath design methodology for high-frequency design. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1008 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license