|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9899 occurrences of 4684 keywords
|
|
|
Results
Found 38692 publication records. Showing 38688 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Min Sheng, Yan Shi 0001, Jiandong Li 0001 |
An Analysis of the Optimum Interactive Mode of Control Message for Ad Hoc Mobile Networks. |
AINA |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Marco Ajmone Marsan, Andrea Bianco, Paolo Giaccone, Emilio Leonardi, Fabio Neri |
Packet-mode scheduling in input-queued cell-based switches. |
IEEE/ACM Trans. Netw. |
2002 |
DBLP DOI BibTeX RDF |
variable size packets, packet switching, scheduling algorithms, input queued switched |
19 | Michael W. Hofbaur, Brian C. Williams |
Mode Estimation of Probabilistic Hybrid Systems. |
HSCC |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Fayez Chayab, Lev Kirischian, Lucas Szajek |
Architecture-to-Task Optimization System (ATOS) for Parallel Multi-Mode Data-Flow Architectures on a Base of a Partially Reconfigurable Computing Platform. |
PARELEC |
2002 |
DBLP DOI BibTeX RDF |
data-flow applications, optimization, FPGA, Parallel architecture, DFG, partial reconfiguration, automated synthesis |
19 | Timothy C. H. Kwong, Matthew K. O. Lee |
Behavioral Intention Model for the Exchange Mode Internet Music Piracy. |
HICSS |
2002 |
DBLP DOI BibTeX RDF |
Internet Music Piracy, Peer-to-Peer Electronic Commerce, MP3, Exchange |
19 | Eduard Alarcón, Alfonso Romero 0001, Alberto Poveda, Sonia Porta, Luis Martínez-Salamero |
Sliding-mode control analog integrated circuit for switching DC-DC power converters. |
ISCAS (1) |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Koeunyi Bae, KyungSoo Han, James S. Thorp |
Nodal-Price Dependent, Dual-Mode Transmission Line Protection Strategy. |
HICSS |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Kenneth Y. Yun, David L. Dill |
Automatic synthesis of extended burst-mode circuits. I.(Specification and hazard-free implementations). |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
19 | D. Shmilovitz, Dariusz Czarkowski, Zivan Zabar |
New switch-mode topology for VAR compensation. |
ISCAS (5) |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Paulo Pedro, Alan Burns 0001 |
Schedulability analysis for mode changes in flexible real-time systems. |
ECRTS |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Jinn-Shyan Wang, Po-Hui Yang, Wayne Tseng |
Low-power embedded SRAM macros with current-mode read/write operations. |
ISLPED |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Peter A. Beerel, Kenneth Y. Yun, Steven M. Nowick, Pei-Chuan Yeh |
Estimation and bounding of energy consumption in burst-mode control circuits. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
statistical energy estimation, hazard-free logic, N-valued simulation, low power design, asynchronous circuits |
19 | Bart Preneel, Marnix Nuttin, Vincent Rijmen, Johan Buelens |
Cryptanalysis of the CFB Mode of the DES with a Reduced Number of Rounds. |
CRYPTO |
1993 |
DBLP DOI BibTeX RDF |
|
19 | Antony Rybczynski, B. Wessler, R. Despres, J. Wedlake |
A new communication protocol for accessing data networks: the international packet-mode interface. |
AFIPS National Computer Conference |
1976 |
DBLP DOI BibTeX RDF |
|
19 | Oscar H. Ibarra, Sara Woodworth |
Characterizations of some classes of spiking neural P systems. |
Nat. Comput. |
2008 |
DBLP DOI BibTeX RDF |
Asynchronous mode, Sequential mode, Partially blind counter machine, Spiking neural P system, Language generator, Semilinear set |
19 | Hirokatsu Shirahama, Takahiro Hanyu |
Design of High-Performance Quaternary Adders Based on Output-Generator Sharing. |
ISMVL |
2008 |
DBLP DOI BibTeX RDF |
Carry pre-addition, Differential-pair circuitry, Voltage-mode circuit, Transfer-gate circuitry, Current-mode circuit |
19 | Salim Chowdhury, John Lillis |
Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion |
19 | Oscar H. Ibarra, Sara Woodworth |
Spiking Neural P Systems: Some Characterizations. |
FCT |
2007 |
DBLP DOI BibTeX RDF |
asynchronous mode, sequential mode, partially blind counter machine, Spiking neural P system, language generator, semilinear set |
19 | Nan Ren, Yixin Li, Jianyi Liu, Hong Miao |
A Research on the Application of Three-D Planning of EIS in Manufacturing Industry. |
CONFENIS (2) |
2007 |
DBLP DOI BibTeX RDF |
Key-point radiation mode, Main clue mode, Enterprise software solutions, Data Integration, Enterprise model, Enterprise information system (EIS) |
19 | Mike K. P. So |
Bayesian analysis of nonlinear and non-Gaussian state space models via multiple-try sampling methods. |
Stat. Comput. |
2006 |
DBLP DOI BibTeX RDF |
Adaptive direction sampling, Posterior mode direction sampling, Quadratic hill-climbing, Kalman filter, Blocking, Markov chain Monte Carlo methods, Multiple mode |
19 | W. Sardha Wijesoma, K. R. S. Kodagoda, Eam Khwang Teoh |
Stable Fuzzy State Space Controller for Lateral Control of an AGV. |
J. VLSI Signal Process. |
2002 |
DBLP DOI BibTeX RDF |
lateral control, fuzzy sliding mode control, fuzzy PD control, stability, sliding mode control |
19 | Lauren Hui Chen, Malgorzata Marek-Sadowska, Forrest Brewer |
Coping with buffer delay change due to power and ground noise. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
common mode noise, differential mode noise, incremental delay change, power and ground noise |
19 | Andreas Griewank, David W. Juedes, Jean Utke |
Algorithm 755: ADOL-C: A Package for the Automatic Differentiation of Algorithms Written in C/C++. |
ACM Trans. Math. Softw. |
1996 |
DBLP DOI BibTeX RDF |
Taylor coefficients, chain rule, reverse mode, automatic differentiation, gradients, overloading, Hessians, forward mode |
19 | Ridha Djemal, Guy Mazaré, Gérard Michel |
Toward reconfigurable associative architecture for high speed communication operators. |
ECBS |
1996 |
DBLP DOI BibTeX RDF |
reconfigurable associative architecture, high speed communication operators, associative organization, complex data structures, structured addressable associative memory, high speed communication protocols, CAM architectures, SYMOPSYS tool, architecture validation, FPGA, real-time systems, real-time, asynchronous transfer mode, asynchronous transfer mode, local area networks, local area network, reconfigurable architectures, memory architecture, LAN, content-addressable storage |
19 | Irith Pomeranz, Sudhakar M. Reddy |
Classification of Faults in Synchronous Sequential Circuits. |
IEEE Trans. Computers |
1993 |
DBLP DOI BibTeX RDF |
undetectable faults, initial conditions, partially detectable faults, synchronization mode, free mode, logic testing, sequential circuits, synchronisation, fault location, synchronous sequential circuits, combinatorial circuits, test sequence, faults classification, redundant faults |
18 | Linwei Niu |
Energy-aware dual-mode voltage scaling for weakly hard real-time systems. |
SAC |
2010 |
DBLP DOI BibTeX RDF |
dual priority scheduling, dual-mode voltage scaling, QoS |
18 | Kosuke Yamazaki, Issei Kanno, Yuji Ikeda, Hiroyasu Ishikawa |
Radio access selection method designed to enhance node availability in multi-mode wireless sensor network. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
data transmission time, sensor networks, power consumption, multi-mode |
18 | Hicham Mahkoum, Abdelhakim Senhaji Hafid, Behçet Sarikaya |
Proxying location update for idle mode interfaces. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
MIH services, idle/active mode, proxied interface, proxied multi-radio interface, proxy entity, location-update |
18 | Chia-Jui Hsu, José Luis Pino, Fei-Jiang Hu |
A mixed-mode vector-based dataflow approach for modeling and simulating LTE physical layer. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
LTE physical layer, mixed-mode dataflow |
18 | Jun Seomun, Insup Shin, Youngsoo Shin |
Synthesis and implementation of active mode power gating circuits. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
active leakage, active-mode power gating, low power |
18 | Steven C. H. Hoi, Rong Jin 0001, Jianke Zhu, Michael R. Lyu |
Semisupervised SVM batch mode active learning with applications to image retrieval. |
ACM Trans. Inf. Syst. |
2009 |
DBLP DOI BibTeX RDF |
batch mode active learning, human-computer interaction, support vector machines, Content-based image retrieval, active learning, semisupervised learning |
18 | Yafeng Guo, ShaoYuan Li |
Improved H∞ filtering for Markov jumping linear systems with non-accessible mode information. |
Sci. China Ser. F Inf. Sci. |
2009 |
DBLP DOI BibTeX RDF |
Markov jumping linear systems, H ? filter, mode-independent, networked control system |
18 | Kemalettin Erbatur, Berk Çalli |
Fuzzy boundary layer tuning for sliding mode systems as applied to the control of a direct drive robot. |
Soft Comput. |
2009 |
DBLP DOI BibTeX RDF |
Chattering, Fuzzy logic, Sliding mode control, Boundary layer |
18 | Darong Lai, Hongtao Lu, Mario Lauria, Diego di Bernardo, Christine Nardini |
MANIA: A Gene Network Reverse Algorithm for Compounds Mode-of-Action and Genes Interactions Inference. |
Complex (1) |
2009 |
DBLP DOI BibTeX RDF |
Ordinary Differential Equations (ODE), compound mode-of-action, reverse engineering, gene expression, gene network |
18 | Luis Enrique González Jiménez, Alexander G. Loukianov, Eduardo Bayro-Corrochano |
Discrete Integral Sliding Mode Control in Visual Object Tracking Using Differential Kinematics. |
CIARP |
2009 |
DBLP DOI BibTeX RDF |
Integral Sliding Mode Control, Visual Tracking, Geometric Algebra |
18 | Mahta Haghi, Jeff Draper |
The effect of design parameters on single-event upset sensitivity of MOS current mode logic. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
mos current mode logic (mcml), single event upset (seu), design parameters, radiation hardening |
18 | Víctor D. Díaz-Suárez, Carlos M. Travieso, Javier González-Fernández, Miguel A. Ferrer, Luis Gómez 0001, Jesús B. Alonso |
Automatic System Identification of Tissue Abnormalities Based on 2D B-Mode Ultrasound Images. |
EUROCAST |
2009 |
DBLP DOI BibTeX RDF |
tissue-mimicking phantom, B-mode imaging database, Higuchi Fractal dimension, Ultrasound, Feed-forward neural network |
18 | Adam Spiers, Guido Herrmann, Chris Melhuish, Anthony G. Pipe, Alexander Lenz |
Robotic Implementation of Realistic Reaching Motion Using a Sliding Mode/Operational Space Controller. |
FIRA |
2009 |
DBLP DOI BibTeX RDF |
Operational Space, Parametric Uncertainty, Robotics, Human Motion, Friction, Sliding Mode |
18 | Nardenio Almeida Martins, Douglas Bertol, Edson R. de Pieri |
Trajectory Tracking of a Nonholonomic Mobile Robot Considering the Actuator Dynamics: Design of a Neural Dynamic Controller Based on Sliding Mode Theory. |
ICANN (2) |
2009 |
DBLP DOI BibTeX RDF |
neural dynamic control, actuator dynamics, sliding mode theory, mobile robot, trajectory tracking, Lyapunov method |
18 | Giuseppe Anastasi, Marco Conti, Enrico Gregori, Andrea Passarella |
802.11 power-saving mode for mobile computing in Wi-Fi hotspots: Limitations, enhancements and open issues. |
Wirel. Networks |
2008 |
DBLP DOI BibTeX RDF |
Network architecture & design, Mobile computing, 802.11, Network protocols, Wi-Fi, Performance of systems, Power-saving mode |
18 | Francesca Palumbo, Simone Secchi, Danilo Pani, Luigi Raffo |
A Novel Non-exclusive Dual-Mode Architecture for MPSoCs-Oriented Network on Chip Designs. |
SAMOS |
2008 |
DBLP DOI BibTeX RDF |
Dual-mode switching, Non-exclusive switching, Networks on Chip, Circuit switching |
18 | Francesco Iorio, Roberto Tagliaferri, Diego di Bernardo |
Building Maps of Drugs Mode-of-Action from Gene Expression Data. |
CIBB |
2008 |
DBLP DOI BibTeX RDF |
Drug mode-of-action, Connectivity Map, Gene Set Enrichment Analysis |
18 | Grégory Wallet, Hélène Sauzéon, Jérôme Rodrigues, Bernard N'Kaoua |
Use of virtual reality for spatial knowledge transfer: effects of passive/active exploration mode in simple and complex routes for three different recall tasks. |
VRST |
2008 |
DBLP DOI BibTeX RDF |
exploration mode, recall tasks, route complexity, virtual reality, spatial cognition, knowledge transfer |
18 | Kan Yasuda |
A One-Pass Mode of Operation for Deterministic Message Authentication- Security beyond the Birthday Barrier. |
FSE |
2008 |
DBLP DOI BibTeX RDF |
birthday barrier, tweak, quasi-random function, message authentication code, mode of operation, checksum, pseudo-random function, compression function, domain extension |
18 | Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum |
Multiple sleep mode leakage control for cache peripheral circuits in embedded processors. |
CASES |
2008 |
DBLP DOI BibTeX RDF |
multiple sleep mode, peripheral circuits, cache, embedded processor, leakage power |
18 | Norbert Herencsar, Kamil Vrba |
Tunable Current-Mode Multifunction Filter Using Universal Current Conveyors. |
ICONS |
2008 |
DBLP DOI BibTeX RDF |
tunable filter, multifunction filter, Universal Current Conveyor, simulation model UCC, current-mode circuit |
18 | Jingjun Zhang, Lili He 0004, Ercheng Wang, Ruizhen Gao |
The Design of LQR Controller Based on Independent Mode Space for Active Vibration Control. |
ISICA |
2008 |
DBLP DOI BibTeX RDF |
Flexible structures, Active vibration control, Independent mode space control, Linear Quadratic Regulator (LQR) |
18 | Zhiyi Zhu, Xin Jin |
Combined Prediction Mode for AVS. |
PCM |
2008 |
DBLP DOI BibTeX RDF |
Combined prediction mode, correlation exploiting, video coding |
18 | Ki Jin Han, Madhavan Swaminathan, Ege Engin |
Electric field integral equation combined with cylindrical conduction mode basis functions for electrical modeling of three-dimensional interconnects. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
conduction mode basis function, electric field integral equation, proximity effect, system-in-package, skin effect, 3-D integration |
18 | Eduardo Sebastián, Miguel Ángel Sotelo |
Adaptive Fuzzy Sliding Mode Controller for the Kinematic Variables of an Underwater Vehicle. |
J. Intell. Robotic Syst. |
2007 |
DBLP DOI BibTeX RDF |
Adaptive equalization, Fuzzy models and estimators, Marine systems, Non-linear control, Sliding mode control, Robots dynamics |
18 | Catherine M. Sweeney-Reed, Slawomir J. Nasuto |
A novel approach to the detection of synchronisation in EEG based on empirical mode decomposition. |
J. Comput. Neurosci. |
2007 |
DBLP DOI BibTeX RDF |
Time-frequency, Phase synchrony, EEG, Coherence, Empirical mode decomposition |
18 | Sobeeh Almukhaizim, Yiorgos Makris |
Concurrent Error Detection Methods for Asynchronous Burst-Mode Machines. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
asynchronous burst-mode machines, error-detecting codes, Concurrent error detection, Berger code |
18 | Kelly J. Black, John B. Geddes |
Complex Valued Spectral Hermite Approximations for the Actively Mode-Locked Laser. |
J. Sci. Comput. |
2007 |
DBLP DOI BibTeX RDF |
Mode-locked laser, Spectral method, Hermite polynomials |
18 | Xinping Guan, Bo Yang 0006, Bin Zhao, Gang Feng 0001, Cailian Chen |
Adaptive fuzzy sliding mode active queue management algorithms. |
Telecommun. Syst. |
2007 |
DBLP DOI BibTeX RDF |
Fuzzy sliding mode, Congestion control, AQM, Stability analysis |
18 | Krushna K. Mohapatra, Ned Mohan |
Open-end winding induction motor driven with indirect matrix converter for common-mode elimination. |
SCSC |
2007 |
DBLP BibTeX RDF |
bearing current, common-mode voltage, open-end, three-phase motor, matrix converter |
18 | Miguel A. Jaramillo Morán, Juan C. Peguero-Chamizo, Enrique Martínez de Salazar, Montserrat García del Valle |
Sliding Mode Control of a Wastewater Plant with Neural Networks and Genetic Algorithms. |
CAEPIA |
2007 |
DBLP DOI BibTeX RDF |
Genetic Algorithms, Neural Networks, Sliding Mode Control |
18 | Huiting Liu, Zhiwei Ni, Jianyang Li |
Extracting Trend of Time Series Based on Improved Empirical Mode Decomposition Method. |
APWeb/WAIM |
2007 |
DBLP DOI BibTeX RDF |
trend extraction, overshoot and undershoot problems, empirical mode decomposition, spline interpolation |
18 | Cyrille Chavet, Caaliph Andriamisaina, Philippe Coussy, Emmanuel Casseau, Emmanuel Juin, Pascal Urard, Eric Martin 0001 |
A design flow dedicated to multi-mode architectures for DSP applications. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
flexible devices, multi-mode architectures, high-level synthesis |
18 | Burcu Erkmen, Tülay Yildirim |
CSFNN Synapse and Neuron Design Using Current Mode Analog Circuitry. |
KES (1) |
2007 |
DBLP DOI BibTeX RDF |
Conic Section Function Neural Networks, Current Mode Analog Design, Neuron and Synapse Circuitry |
18 | Xiaoguang Zhang 0001, Gaoyan Duan, Lixia Xi |
Real-Time DOP Ellipsoid in Polarization Mode Dispersion Monitoring System by Using PSO Algorithm. |
International Conference on Computational Science (4) |
2007 |
DBLP DOI BibTeX RDF |
polarization mode dispersion, monitoring techniques, degree of polarization ellipsoid, particle swarm optimization algorithm |
18 | Jan Jerabek, Kamil Vrba |
RF Pure Current-Mode Filters using Current Mirrors and Inverters. (PDF / PS) |
PWC |
2007 |
DBLP DOI BibTeX RDF |
pure current mode, current mirror, current inverter, CMI, GCMI, frequency filter |
18 | Sixian Zheng, Kuochen Wang, Shiao-Li Tsao, Pochun Lin |
Enhanced Sleep Mode Operations for Energy Saving in IEEE 802.16e. |
EUC Workshops |
2007 |
DBLP DOI BibTeX RDF |
Energy efficiency, WiMax, Energy consumption, Power saving, Sleep mode, IEEE 802.16e |
18 | Shen Li, Xianghui Wei, Takeshi Ikenaga, Satoshi Goto |
A VLSI architecture design of an edge based fast intra prediction mode decision algorithm for H.264/avc. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
fast intra prediction mode decision, H.264, VLSI architecture |
18 | Joshua Jaffe |
A First-Order DPA Attack Against AES in Counter Mode with Unknown Initial Counter. |
CHES |
2007 |
DBLP DOI BibTeX RDF |
HO-DPA, AES, DPA, power analysis, SPA, counter mode |
18 | Donghyung Kim, Jechang Jeong |
Hierarchical Intra-mode Restriction Technique in H.264/MPEG4-AVC Video. |
PCM |
2007 |
DBLP DOI BibTeX RDF |
H.264/MPEG4-AVC Video, Fast Encoding, Hierarchical Intra-Mode Decision, Correlation of Prediction Modes, Rate Distortion Cost |
18 | Chih-Min Lin, Chiu-Hsiung Chen |
Adaptive RCMAC sliding mode control for uncertain nonlinear systems. |
Neural Comput. Appl. |
2006 |
DBLP DOI BibTeX RDF |
Recurrent cerebellar-model-articulation-controller (RCMAC), Sliding-mode control (SMC), Car-following system, Adaptive control, Chaotic system |
18 | Igor D. D. Curcio, Juha Kalliokulju, Miikka Lundan |
AMR mode selection enhancement in 3G networks. |
Multim. Tools Appl. |
2006 |
DBLP DOI BibTeX RDF |
Mode selection, VoIP, RTP, Voice over IP, 3GPP, AMR |
18 | Rafael Vidal Ferré, Josep Paradells Aspas |
IEEE 802.11 networks with dormant mode support: an IP paging approach and its performance analysis. |
MOBIWAC |
2006 |
DBLP DOI BibTeX RDF |
dormant mode, mobility management, mobile IP, IEEE802.11, IP paging |
18 | Russell J. Haines, Tim Lewis, Gary Clemo |
Towards Integrated Wireless Systems: Inter-Mode Monitoring Techniques (Abstract). |
ISCC |
2006 |
DBLP DOI BibTeX RDF |
integration, WLAN, cellular, Multi-mode |
18 | Bo Yao, Hongyu Chen, Chung-Kuan Cheng, Nan-Chi Chou, Lung-Tien Liu, Peter Suaris |
Unified quadratic programming approach for mixed mode placement. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
mixed mode placement, discrete cosine transformation, quadratic programming |
18 | Mehmet Önder Efe |
Discrete time neuro sliding mode control with a task-specific output error. |
Neural Comput. Appl. |
2004 |
DBLP DOI BibTeX RDF |
Backpropagation training, Control error extraction, Discrete time sliding mode control, Neural networks, Nonlinear control, Neurocontrol |
18 | Dan Guez, Alexander Kesselman, Adi Rosén |
Packet-mode policies for input-queued switches. |
SPAA |
2004 |
DBLP DOI BibTeX RDF |
packet-mode scheduling, competitive analysis, input-queued switches |
18 | Jun Rekimoto |
ThumbSense: automatic input mode sensing for touchpad-based interactions. |
CHI Extended Abstracts |
2003 |
DBLP DOI BibTeX RDF |
mode sensing, touch-sensitive user interfaces, touchpad |
18 | Yehya H. Ghallab, Wael M. Badawy, Karan V. I. S. Kaler |
A Novel PH Sensor Using Differential ISFET Current Mode Read-Out Circuit. |
ICMENS |
2003 |
DBLP DOI BibTeX RDF |
Chemical sensor, ISFET pH sensor, Differential ISFET, Operational Floating Current Conveyor, Current mode circuits |
18 | Payam Heydari |
Design issues in low-voltage high-speed current-mode logic buffers. |
ACM Great Lakes Symposium on VLSI |
2003 |
DBLP DOI BibTeX RDF |
VLSI, noise, integrated circuit, high-speed, current-mode logic |
18 | Witold Pedrycz, George Vukovich |
An fMUX architecture: data modularization and mixed-mode system modeling. |
Soft Comput. |
2002 |
DBLP DOI BibTeX RDF |
Fuzzy multiplexer, Mixed-mode modeling, OR and AND neurons, Learning, Multivalued logic, Digital systems, Logic modeling |
18 | Jalal Almhana, Vartan Choulakian |
A Fast algorithm for the Computation of Robust Q-Mode Principal Component Analysis in L1. |
HPCS |
2002 |
DBLP DOI BibTeX RDF |
Robust Q-Mode, web document retrieval time, parallel algorithms |
18 | Hokyoung Ryu |
Will it be upper-case or will it be lower-case: can a prompt for text be a mode signal? |
CHI Extended Abstracts |
2002 |
DBLP DOI BibTeX RDF |
action-effect consistency, handheld devices, mode |
18 | Kamalnayan Jayaraman, Vivekananda M. Vedula, Jacob A. Abraham |
Native Mode Functional Self-Test Generation for Systems-on-Chip. |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
functional self-test, native-mode, signature compression, system-on-chip, at-speed |
18 | Joanna Józefowska, Marek Mika, Rafal Rózycki, Grzegorz Waligóra, Jan Weglarz |
Simulated Annealing for Multi-Mode Resource-Constrained Project Scheduling. |
Ann. Oper. Res. |
2001 |
DBLP DOI BibTeX RDF |
multi-mode project scheduling, renewable resources, non-renewable resources, simulated annealing |
18 | Kelly J. Black, John B. Geddes |
Spectral Hermite Approximations for the Actively Mode-Locked Laser. |
J. Sci. Comput. |
2001 |
DBLP DOI BibTeX RDF |
mode-locked laser, spectral method, hermite polynomials |
18 | Sungtaek Lim, Jihong Kim 0001, Kiyoung Choi |
Scheduling-based code size reduction in processors with indirect addressing mode. |
CODES |
2001 |
DBLP DOI BibTeX RDF |
indirect addressing mode, code generation, code size reduction, storage assignment |
18 | Simon Baatz, Peter Martini, Christoph Scholz 0002, Matthias Frank 0001, Carmen Kühl |
Adaptive Scatternet Support for Bluetooth Using Sniff Mode. |
LCN |
2001 |
DBLP DOI BibTeX RDF |
Wireless Net-works, Sniff Mode, Scheduling, Ad Hoc Networks, Bluetooth, Scatternet |
18 | Takahiro Hanyu, Tsukasa Ike, Michitaka Kameyama |
Low-Power Dual-Rail Multiple-Valued Current-Mode Logic Circuit Using Multiple Input-Signal Levels. |
ISMVL |
2000 |
DBLP DOI BibTeX RDF |
dual-rail multiple-valued current-mode logic circuit, two supply voltages, differential-pair circuit, radix-2 signed-digit adder |
18 | Jason M. Musicer, Jan M. Rabaey |
MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments. |
ISLPED |
2000 |
DBLP DOI BibTeX RDF |
CORDIC, digital logic, current mode logic, low-energy design |
18 | Supratik Chakraborty, David L. Dill, Kun-Yung Chang, Kenneth Y. Yun |
Timing Analysis of Extended Burst-Mode Circuits. |
ASYNC |
1997 |
DBLP DOI BibTeX RDF |
Extended burst-mode circuits, 3D design style, global timing constraints, uncertain component delays, thirteen-valued signal algebra, polynomial-time |
18 | Gordon G. Parker, Daniel J. Segalman, Rush D. Robinett III, Daniel J. Inman |
Decentralized sliding mode control for flexible link robots. |
J. Intell. Robotic Syst. |
1996 |
DBLP DOI BibTeX RDF |
robot control, sliding mode control, flexible links |
18 | Thomas Wiegand, Michael Lightstone, T. George Campbell, Sanjit K. Mitra |
Efficient mode selection for block-based motion compensated video coding. |
ICIP |
1995 |
DBLP DOI BibTeX RDF |
block based motion compensated video coding, mode selection, multimode video compression system, bit rate budget, encoder operation optimisation, rate constrained product code, distortion dependency, rate dependency, macroblock modes, H.263 video coding standard, image segmentation, dynamic programming, dynamic programming, data compression, video coding, maximum likelihood estimation, motion compensation, distortion, telecommunication standards, rate distortion theory, Viterbi algorithm, code standards, image region, necessary conditions, operating modes |
18 | Kuei Yu Wang, Dan C. Marinescu |
Correlation of the paging activity of individual node programs in the SPMD execution mode. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
paging activity, individual node programs, SPMD execution mode, parallel program monitoring, scheduling, scheduling, parallel programming, parallel programs, program diagnostics, paged storage |
18 | Jeffrey L. Berger, Jeffrey Picciotto, John P. L. Woodward, Paul T. Cummings |
Compartmented Mode Workstation: Prototype Highlights. |
IEEE Trans. Software Eng. |
1990 |
DBLP DOI BibTeX RDF |
data overclassification prevention, MITRE compartmented mode workstation, highly classified intelligence data, binary compatible, trusted window management system, sensitivity levels, information labels, accurate data labeling, multiple sensitivity levels, software engineering, objects, security of data, workstations, security requirements, markings, security labels |
18 | A. Touzani, Jack-Gérard Postaire |
Mode Detection by Relaxation. |
IEEE Trans. Pattern Anal. Mach. Intell. |
1988 |
DBLP DOI BibTeX RDF |
multidimensional data clustering, relaxation process, label probabilities, mode detection, probability, computerised pattern recognition, iterative methods, probability density function, computerized pattern recognition, relaxation theory |
17 | Mo Chen, Danilo P. Mandic, Preben Kidmose, Michael Ungstrup |
Qualitative assessment of intrinsic mode functions of empirical mode decomposition. |
ICASSP |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Jiun-Wei Horng, Hung-Pin Chou, Iun-Cheng Shiu |
Current-mode and voltage-mode quadrature oscillator employing multiple outputs CCIIs and grounded capacitors. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles |
A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Wasimon Panichpattanakul, Béatrice Paillassa, Benoît Escrig, Daniel Roviras |
A proxy cooperative diversity method based on IEEE 802.11 standards. |
IWCMC |
2009 |
DBLP DOI BibTeX RDF |
proxy diversity, IEEE 802.11, cooperative communications |
17 | Wei-Chung Cheng, Jih-Fon Huang |
A saccade-contingent display for suppressing color breakup. |
SIGGRAPH Posters |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Ehsan Pakbaznia, Massoud Pedram |
Design and application of multimodal power gating structures. |
ISQED |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Charanjit S. Jutla |
Encryption Modes with Almost Free Message Integrity. |
J. Cryptol. |
2008 |
DBLP DOI BibTeX RDF |
Pairwise independent, Parallelizable, Authentication, Encryption, Block ciphers |
17 | Manoranjan Paul, M. Manzur Murshed |
Threshold-free pattern-based low bit rate video coding. |
ICIP |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Yoshinori Kobayashi, Yosuke Hoshi, Goh Hoshino, Tomoki Kasuya, Masato Fueki, Yoshinori Kuno |
Museum guide robot with three communication modes. |
IROS |
2008 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 38688 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|