The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18John Chapin, Stephen Alan Herrod, Mendel Rosenblum, Anoop Gupta Memory System Performance of UNIX on CC-NUMA Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Robert W. Wisniewski, Leonidas I. Kontothanassis, Michael L. Scott High Performance Synchronization Algorithms for Multiprogrammed Multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Alvin R. Lebeck, David A. Wood 0001 Dynamic Self-Invalidation: Reducing Coherence Overhead in Shared-Memory Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Akhilesh Kumar, Phanindra K. Mannava, Laxmi N. Bhuyan Efficient and scalable cache coherence schemes for shared memory hypercube multiprocessors. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Beng-Hong Lim, Anant Agarwal Waiting Algorithms for Synchronization in Large-Scale Multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF producer-consumer synchronization, competitive analysis, locks, blocking, spinning, waiting time, barriers
18Cathy McCann, Raj Vaswani, John Zahorjan A Dynamic Processor Allocation Policy for Multiprogrammed Shared-memory Multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF shared memory parallel processors, threads, two-level scheduling
18Hiroyuki Nakahira, Masakatsu Maruyama, Hideshi Ueda, Haruyasu Yamada An image processing system using Image Signal Multiprocessors (ISMPs). Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Josep Torrellas, Andrew Tucker, Anoop Gupta Benefits of Cache-Affinity Scheduling in Shared-Memory Multiprocessors: A Summary. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF UNIX System V
18Xiaodong Zhang 0001 Dynamic and static load balancing for solving block bordered circuit equations on multiprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18J. E. Smith, W. R. Taylor Characterizing memory performance in vector multiprocessors. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Senthil Krishnamoorthy, Alok N. Choudhary An Evaluation of Set-Associativity in Two-Level Caches for Shared Memory Multiprocessors. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Lubomir Bic, John M. A. Roy, Mark Nagel Declarative Programming for Conventional MIMD Multiprocessors. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18John M. Mellor-Crummey, Michael L. Scott Algorithms for Scalable Synchronization on Shared-Memory Multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Marc Engels, Rudy Lauwereins, J. A. Peperstraete Rapid Prototyping for DSP Systems with Multiprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Thomas Bemmerl, Arndt Bode An Integrated Environment for Programming Distributed Memory Multiprocessors. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Agustín Fernández, José M. Llabería, Juan J. Navarro, Miguel Valero-García Interleaving Partitions of Systolic Algorithms for Programming Distributed Memory Multiprocessors. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Jordi Torres, Eduard Ayguadé, Jesús Labarta, José M. Llabería, Mateo Valero On Automatic Loop Data-Mapping for Distributed-Memory Multiprocessors. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Songnian Zhou, Tim Brecht Processor-Pool-Based Scheduling for Large-Scale NUMA Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Steven L. Scott, Gurindar S. Sohi The Use of Feedback in Multiprocessors and Its Application to Tree Saturation Control. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF tree saturation control, feedback control schemes, hot-spot accesses, feedback, feedback, multiprocessor interconnection networks, multiprocessing systems, multiprocessor systems, multistage interconnection networks
18Manu Thapar, Bruce Delagi Scalable Cache Coherence for Large Shared Memory Multiprocessors. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Sang Lyul Min, Jean-Loup Baer, Hyoung-Joo Kim An efficient caching support for critical sections in large-scale shared-memory multiprocessors. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Vittorio Zecca, Aladin Kamel Elastodynamics on clustered vector multiprocessors. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF FORTRAN
18Mazin S. Algudady, Chita R. Das, Matthew Thazhuthaveetil A write update cache coherence protocol for MIN-based multiprocessors with accessibility-based split caches. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Gung-Chung Yang PARASPICE: A Parallel Circuit Simulator for Shared-Memory Multiprocessors. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Paul S. Wang Parallel Univariate Polynomial Factorization on Shared-Memory Multiprocessors. Search on Bibsonomy ISSAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Thomas E. Anderson, Edward D. Lazowska, Henry M. Levy The Performance Implications of Thread Management Alternatives for Shared-Memory Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Andrew Tucker, Anoop Gupta Process Control and Scheduling Issues for Multiprogrammed Shared-Memory Multiprocessors. Search on Bibsonomy SOSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Ferenc Szelényi, Wolfgang E. Nagel A comparison of parallel processing on Cray X-MP AND IBM 3090 VF multiprocessors. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF FORTRAN
18Krishnan Padmanabhan The composite binary cube - a family of interconnection networks for multiprocessors. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18James R. Slagle, Ashim Bose, P. Busalacchi, C. Wee Enhanced simulated annealing for automatic reconfiguration of multiprocessors in space. Search on Bibsonomy IEA/AIE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF LISP
18Per Stenström A Cache Consistency Protocol for Multiprocessors with Multistage Networks. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Ziya Aral, Ilya Gertner, Greg Schaffer Efficient Debugging Primitives for Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Wolf-Dietrich Weber, Anoop Gupta Analysis of Cache Invalidation Patterns in Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18James R. Goodman, Mary K. Vernon, Philip J. Woest Efficent Synchronization Primitives for Large-Scale Cache-Coherent Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Hemant Kanakia, David R. Cheriton The VMP network adapter board (NAB): high-performance network communication for multiprocessors. Search on Bibsonomy SIGCOMM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Bradley J. Lucier Performance Evaluation for Multiprocessors Programmed Using Monitors. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Andrew W. Appel, John R. Ellis, Kai Li 0001 Real-Time Concurrent Collection on Stock Multiprocessors. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF LISP, Modula-2
18Andrew W. Appel Real-time concurrent collection on stock multiprocessors (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Efstratios Gallopoulos, D. Lee Boundary integral domain decomposition of hierarchical memory multiprocessors. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Robert J. Fowler, Thomas J. LeBlanc, John M. Mellor-Crummey An Integrated Approach to Parallel Program Debugging and Performance Analysis of Large-Scal Multiprocessors. Search on Bibsonomy Workshop on Parallel and Distributed Debugging The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18C. Mani Krishna 0001, Kang G. Shin Queueing analysis of a canonical model of real-time multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
18Michel Dubois 0001, Faye A. Briggs Effects of cache coherency in multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
18Javier Lira, Carlos Molina, Antonio González 0001 The auction: optimizing banks usage in Non-Uniform Cache Architectures. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bank replacement policy, non-uniform cache architecture (NUCA), chip multiprocessors (CMP)
18Eddy Z. Zhang, Yunlian Jiang, Xipeng Shen Does cache sharing on modern CMP matter to the performance of contemporary multithreaded programs? Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel program optimizations, chip multiprocessors, shared cache, thread scheduling
18Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri Low depth cache-oblivious algorithms. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sparse-matrix vector multiply, schedulers, parallel algorithms, multiprocessors, sorting, graph algorithms, cache-oblivious algorithms
18Cor Meenderinck, Arnaldo Azevedo, Ben H. H. Juurlink, Mauricio Alvarez 0001, Alex Ramírez Parallel Scalability of Video Decoders. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scalability, Parallel processing, Chip multiprocessors, H.264, Video codecs
18Suk-Bok Lee, Sai-Wang Tam, Ioannis Pefkianakis, Songwu Lu, M. Frank Chang, Chuanxiong Guo, Glenn Reinman, Chunyi Peng 0001, Mishali Naik, Lixia Zhang 0001, Jason Cong A scalable micro wireless interconnect structure for CMPs. Search on Bibsonomy MobiCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip wireless interconnection network, chip multiprocessors
18James H. Anderson, Vasile Bud, UmaMaheswari C. Devi An EDF-based restricted-migration scheduling algorithm for multiprocessor soft real-time systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scheduling, Multiprocessors, Partitioning, Migrations, Earliest-deadline-first, Soft real-time, Global scheduling, Tardiness
18Fredrik Warg, Per Stenström Dual-thread Speculation: A Simple Approach to Uncover Thread-level Parallelism on a Simultaneous Multithreaded Processor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer architecture, Chip multiprocessors, Thread-level speculation, Thread-level parallelism, Simultaneous multithreading
18F. Ryan Johnson, JoAnn M. Paul Interrupt modeling for efficient high-level scheduler design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scenario oriented design, MESH, Heterogeneous chip multiprocessors
18Jianguo Yao, Xue Liu 0001, Mingxuan Yuan, Zonghua Gu 0001 Online adaptive utilization control for real-time embedded multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessors, real-time scheduling, feedback control
18Nidhi Aggarwal, Parthasarathy Ranganathan, Norman P. Jouppi, James E. Smith 0001 Isolation in Commodity Multicore Processors. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, multicore processors, fault isolation
18Niti Madan, Rajeev Balasubramonian Power Efficient Approaches to Redundant Multithreading. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant multi-threading (RMT), dynamic frequency scaling, Reliability, power, soft errors, transient faults, heterogeneous chip multiprocessors
18Sebastian Burckhardt, Rajeev Alur, Milo M. K. Martin CheckFence: checking consistency of concurrent data types on relaxed memory models. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF lock-free synchronization, shared-memory multiprocessors, multi-threading, software model checking, memory models, sequential consistency, concurrent data structures
18Sungjune Youn, Hyunhee Kim, Jihong Kim 0001 A reusability-aware cache memory sharing technique for high-performance low-power CMPs with private L2 caches. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors (CMPS), performance, embedded systems, architecture, low-power, L2 cache
18Anders Gidenstam, Marina Papatriantafilou LFthreads: A Lock-Free Thread Library. Search on Bibsonomy OPODIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synchronization, multiprocessors, shared memory, multithreading, multicores, lock-free
18Nidhi Aggarwal, Parthasarathy Ranganathan, Norman P. Jouppi, James E. Smith 0001 Configurable isolation: building high availability systems with commodity multi-core processors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, high availability, fault isolation
18Luis Ceze, James Tuck 0001, Pablo Montesinos, Josep Torrellas BulkSC: bulk enforcement of sequential consistency. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bulk, chip multiprocessors, programmability, sequential consistency, memory consistency models
18Shimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson Scheduling threads for constructive cache sharing on CMPs. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constructive cache sharing, parallel depth first, thread granularity, working set profiling, chip multiprocessors, scheduling algorithms, work stealing
18Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas Energy-Efficient Thread-Level Speculation. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF out-of-order task spawning, chip multiprocessors, Thread-level speculation
18Weiwu Hu, Rui Hou, Jun-Hua Xiao, Long-Bin Zhang High Performance General-Purpose Microprocessors: Past and Future. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high performance general-purpose microprocessor, Godson processor, chip multiprocessors, instruction level parallelism, thread level parallelism, data level parallelism
18Iñigo Artundo, Daniel Manjarres, Wim Heirman, Christof Debaes, Joni Dambre, Jan M. Van Campenhout, Hugo Thienpont Reconfigurable Interconnects in DSM Systems: A Focus on Context Switch Behavior. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection network, multiprocessors, Reconfiguration, distributed shared memory, context switch
18Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson Parallel depth first vs. work stealing schedulers on CMP architectures. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, caches, chip multiprocessors
18Shimin Chen, Babak Falsafi, Phillip B. Gibbons, Michael Kozuch, Todd C. Mowry, Radu Teodorescu, Anastassia Ailamaki, Limor Fix, Gregory R. Ganger, Bin Lin 0002, Steven W. Schlosser Log-based architectures for general-purpose monitoring of deployed code. Search on Bibsonomy ASID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF general-purpose task monitoring, log-based architectures, chip multiprocessors
18Qiang Wu, Philo Juang, Margaret Martonosi, Li-Shiuan Peh, Douglas W. Clark Formal Control Techniques for Power-Performance Management. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Power performance management, dynamic voltage, frequency sealing, chip multiprocessors, multiple-clock-domain
18Gaëlle Largeteau, Dominique Geniet, Eric Andres Discrete Geometry Applied in Hard Real-Time Systems Validation. Search on Bibsonomy DGCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF operational validation, Real-time, multiprocessors, geometrical modeling, resource sharing
18Manohar K. Prabhu, Kunle Olukotun Exposing speculative thread parallelism in SPEC2000. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation
18Lisa Higham, Jalal Kawash Impact of Instruction Re-Ordering on the Correctness of Shared-Memory Programs. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Instruction re-ordering, High-performance multiprocessors, Mutual exclusion, Sequential consistency, Critical Sections, Memory consistency models
18Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee Reducing False Sharing and Improving Spatial Locality in a Unified Compilation Framework. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF loop and memory layout transformations, shared-memory multiprocessors, Data reuse, cache locality, false sharing
18Jim Nilsson, Anders Landin, Per Stenström The Coherence Predictor Cache: A Resource-Efficient and Accurate Coherence Prediction Infrastructure. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF coherence message prediction, memory overhead, caches, Shared-memory multiprocessors
18V. Carl Hamacher, Hong Jiang Hierarchical Ring Network Configuration and Performance Modeling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hierarchical rings, message-passing performance, Interconnection networks, shared-memory multiprocessors, queuing models, slotted rings
18Min-You Wu, Wei Shu, Jun Gu Efficient Local Search for DAG Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fast local search, complexity, multiprocessors, quality, DAG scheduling
18Csaba Andras Moritz, Donald Yeung, Anant Agarwal SimpleFit: A Framework for Analyzing Design Trade-Offs in Raw Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modeling, architecture, Multiprocessors, microprocessors
18Young-Joo Suh, Binh Vien Dao, José Duato, Sudhakar Yalamanchili Software-Based Rerouting for Fault-Tolerant Pipelined Communication. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF E-cube routing, livelock freedom, fault tolerance, interconnection networks, multiprocessors, adaptive routing, wormhole switching, oblivious routing, deadlock freedom, virtual cut-through switching
18Luiz André Barroso, Kourosh Gharachorloo, Andreas Nowatzyk, Ben Verghese Impact of Chip-Level Integration on Performance of OLTP Workloads. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF chip-level integration, database workloads, multiprocessors, memory system performance
18Hock-Beng Lim, Pen-Chung Yew Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design
18Takayuki Sato, Kazuhiko Ohno, Hiroshi Nakashima A Mechanism for Speculative Memory Accesses Following Synchronizing Operations. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synchronization, shared memory multiprocessors, speculative execution
18Marius Pirvu, Nan Ni, Laxmi N. Bhuyan Exploring the Switch Design Space in a CC-NUMA Multiprocessor Environment. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF input buffer management, CC-NUMA multiprocessors, performance evaluation, arbitration, execution driven simulation, switch design
18Zheng Zhang 0001, Marcelo H. Cintra, Josep Torrellas Excel-NUMA: Toward Programmability, Simplicity, and High Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF NUMA organizations, performance evaluation, caches, Shared-memory multiprocessors, cache-coherence protocols
18Chi-Keung Luk, Todd C. Mowry Automatic Compiler-Inserted Prefetching for Pointer-Based Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF pointer-based applications, performance evaluation, Caches, prefetching, compiler optimization, shared-memory multiprocessors, recursive data structures
18Zhiyuan Li 0001 Reducing Cache Conflicts by Partitioning and Privatizing Shared Arrays. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF set conflicts, array privatization, caches, shared-memory multiprocessors, Optimizing compilers
18Xavier Verians, Jean-Didier Legat, Jean-Jacques Quisquater, Benoît Macq A Graph-Oriented Task Manager for Small Multiprocessor Systems. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallelism, synchronization, multiprocessors, dependence graph
18Xavier Verians, Jean-Didier Legat, Jean-Jacques Quisquater, Benoît Macq A New Parallelism Management Scheme for Multiprocessor Systems. Search on Bibsonomy ACPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallelism, multiprocessors, task scheduling, task graph
18Fong Pong, Michel Dubois 0001 Formal Verification of Complex Coherence Protocols Using Symbolic State Models. Search on Bibsonomy J. ACM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF state abstraction, state enumeration methods, formal methods, shared-memory multiprocessors, cache coherence protocols
18Sumit Roy 0002, Vipin Chaudhary Strings: A High-Performance Distributed Shared Memory for Symmetrical Multiprocessor Clusters. Search on Bibsonomy HPDC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Performance Evaluation, Cluster computing, Multithreading, Distributed Shared Memory, Symmetrical Multiprocessors
18Josep Torrellas, Zheng Zhang 0001 The Performance of the Cedar Multistage Switching Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Multistage switching networks, vector multiprocessors, performance evaluation, experimental analysis, address tracing
18JunSeong Kim, David J. Lilja Exploiting multiple heterogeneous networks to reduce communication costs in parallel programs. Search on Bibsonomy Heterogeneous Computing Workshop The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiple heterogeneous networks, parallel application program, HiPPI, multiple parallel networks, SGI multiprocessors, distributed system, parallel programs, delays, multiplexing, communication costs, virtual network, Fibre Channel
18Hea-Sook Park, Sung-Jin Moon, Man-Sik Park, Boseob Kwon, Kwang-Suk Song Design of inter processor communication controller using ATM switch and analysis of its optimal message length considering retransmission. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF inter processor communication controller, optimal message length, distributed large-scale multiprocessors, ATM adaptation layer, message error rate, message retransmission rate, asynchronous transfer mode, ATM switch, asynchronous transfer mode switches
18Kuang-Chih Liu, Chung-Ta King On the effectiveness of sectored caches in reducing false sharing misses. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sectored caches, false sharing misses, bus-based multiprocessors, coherence unit, MESI protocol, LU, SORBYR, SORBYC, benchmarks, FFT, performance metric, cache storage, Radix
18Patrik Sundström, Per Andersson ATM network interface architectures for low latency. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ATM network interface architectures, network interface design, low message latency, asynchronous transfer mode, shared memory multiprocessors, distributed architecture, LAN, workstation network, dedicated hardware
18Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
18Vincent Habchi, Christoph Siegelin, Gérard Mouret, Ulrich Finger The WARPmemory prototype: design and performance. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF WARPmemory prototype, high performance multiprocessors, communication grain, low-cost standard technology, speed-versus-cost trade-offs, mesh of workstations, shared memory systems, shared memory systems, Distributed Shared Memory, optimal design, multiprocessor network
18David Parry 0001 Scalability in computing for today and tomorrow. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synergistic combination, performance growth, current multiprocessor alternatives, scalable SMP, Silicon Graphics Origin multiprocessor, S/sup 2/MP memory architecture, core technologies, scalability, system architecture, shared-memory multiprocessors, shared memory systems
18Arun K. Nanda, Lionel M. Ni MAD Kernels: An Experimental Testbed to Study Multiprocessor Memory System Behavior. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF memory access patterns, Performance evaluation, interconnection networks, memory hierarchy, shared-memory multiprocessors, resource contention, synchronization overhead
18Philippe Lacroute Analysis of a Parallel Volume Rendering System Based on the Shear-Warp Factorization. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel algorithms for shared memory multiprocessors, shear-warp factorization, coherence optimizations, image partition, multiprocessor performance analysis, Volume rendering
18Kelvin K. Yue, David J. Lilja Efficient Execution of Parallel Applications in Multiprogrammed Multiprocessor Systems. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF operating system, performance measurement, shared-memory multiprocessors, multiprogramming, processor allocation, process scheduling
18Xiaojie Li, Kenichi Harada An efficient asynchronous data transmission mechanism for data parallel languages. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous data transmission mechanism, linguistic support, array references, N-level message queue, exact data-flow analysis, data transmission requests, SPMD code, parallel programming, compilers, program compilers, distributed memory systems, parallel languages, optimization techniques, distributed memory multiprocessors, data parallel languages
18Injong Rhee Optimizing a FIFO, scalable spin lock using consistent memory. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF FIFO optimization, scalable spin lock, consistent memory, FIFO queue based scalable spin lock, non atomic read, atomic swap operation, timing guarantee, scalable mutual exclusion problem, NUMA architectures, FSSL algorithm, write operations, non atomic memory operations, weakly consistent memories, multiprocessors, shared memory systems, real time applications, atomic operations
18Pradeep Prabhakaran, Prithviraj Banerjee Parallel Algorithms for Force Directed Scheduling of Flattened and Hierarchical Signal Flow Graphs. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel algorithms, multiprocessors, High-level synthesis, network of workstations, hierarchical graphs, force-directed scheduling
18Nian-Feng Tzeng, Steven J. Wallach Issues on the architecture and the design of distributed shared memory systems. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel application codes, scalability, architecture, distributed memory systems, programming model, low cost, distributed-memory multiprocessors, distributed shared memory systems
Displaying result #501 - #600 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license