The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sizing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1980 (15) 1981-1985 (22) 1986-1988 (22) 1989-1990 (30) 1991 (16) 1992 (16) 1993 (26) 1994 (24) 1995 (33) 1996 (38) 1997 (53) 1998 (54) 1999 (49) 2000 (66) 2001 (80) 2002 (83) 2003 (99) 2004 (138) 2005 (159) 2006 (180) 2007 (179) 2008 (222) 2009 (137) 2010 (124) 2011 (131) 2012 (109) 2013 (117) 2014 (116) 2015 (137) 2016 (129) 2017 (151) 2018 (162) 2019 (192) 2020 (183) 2021 (188) 2022 (178) 2023 (166) 2024 (43)
Publication types (Num. hits)
article(1906) book(3) data(1) incollection(16) inproceedings(1901) phdthesis(39) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1250 occurrences of 693 keywords

Results
Found 3867 publication records. Showing 3867 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Kan-Lin Hsiung Design of High-Speed Metal-Semiconductor-Metal Photodetectors: An Optimization-Based Approach. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Retsef Levi, Martin Pál, Robin Roundy, David B. Shmoys Approximation Algorithms for Stochastic Inventory Control Models. Search on Bibsonomy IPCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Anton Valouev, Lei Li, Yu-Chi Liu, David C. Schwartz, Yi Yang 0047, Yu Zhang 0002, Michael S. Waterman Alignment of Optical Maps. Search on Bibsonomy RECOMB The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jeng-Liang Tsai, Charlie Chung-Ping Chen Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Youngmoon Choi, Earl E. Swartzlander Jr. Parallel Prefix Adder Design with Matrix Representation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Anuradha Agarwal, Ranga Vemuri Layout-Aware RF Circuit Synthesis Driven by Worst Case Parasitic Corners. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Min Pan, Chris C. N. Chu, J. Morris Chang Transition time bounded low-power clock tree construction. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ning Fu, Shigetoshi Nakatake, Yasuhiro Takashima, Yoji Kajitani The oct-touched tile: a new architecture for shape-based routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF OTT updated according to the obstacles, shape-based routing, routing architecture
15Daniel Quadt A Hierarchical Production Planning Approach for Multiprocessor Flow Shops. Search on Bibsonomy OR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi Path based buffer insertion. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout, physical design, global routing, buffer insertion, power minimization, interconnect synthesis
15Alex Garthwaite, David Dice, Derek White Supporting per-processor local-allocation buffers using lightweight user-level preemption notification. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF restartable critical sections, locality, memory allocation
15Fabricio B. Bastian, Cristiano Lazzari, José Luís Almada Güntzel, Ricardo Reis 0001 A New Transistor Folding Algorithm Applied to an Automatic Full-Custom Layout Generation Tool. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15A. E. Eiben, Elena Marchiori, V. A. Valkó Evolutionary Algorithms with On-the-Fly Population Size Adjustment. Search on Bibsonomy PPSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Chandrasekar Rajagopal, Adrián Núñez-Aldana CMOS Analog Programmable Logic Array. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Vikas Chandra, Anthony Xu, Herman Schmit, Lawrence T. Pileggi An Interconnect Channel Design Methodology for High Performance Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Changbo Long, Jinjun Xiong, Lei He 0001 On optimal physical synthesis of sleep transistors. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
15Adam Engelhart, Mark K. Gardner, Wu-chun Feng Re-Architecting Flow Control Adaptation for Grid Environments. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15João Ramos, Kenneth Francken, Georges G. E. Gielen, Michiel Steyaert Knowledge- and optimization-based design of RF power amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Raoul F. Badaoui, Hemanth Sampath, Anuradha Agarwal, Ranga Vemuri A high level language for pre-layout extraction in parasite-aware analog circuit synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MSL, pre-layout extraction, parasitics, analog VLSI
15Pedro F. Vieira, Leonardo Bruno de Sá, João P. B. Botelho, Antonio Carneiro de Mesquita Filho Evolutionary Synthesis of Analog Circuits Using Only MOS Transistors. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Li-Da Huang, Minghorng Lai, Martin D. F. Wong, Youxin Gao Maze routing with buffer insertion under transition time constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Frederic Cordier, Hyewon Seo, Nadia Magnenat-Thalmann Made-to-Measure Technologies for an Online Clothing Store. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Tom Eeckelaert, Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen Generalized Posynomial Performance Modeling. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Jennifer Y.-L. Lo, Wu-An Kuo, Allen C.-H. Wu, TingTing Hwang A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Will Casey, Bud Mishra A Nearly Linear-Time General Algorithm for Genome-Wide Bi-allele Haplotype Phasing. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Soroush Abbaspour, Massoud Pedram, Payam Heydari Optimizing the Energy-Delay-Ringing Product in On-Chip CMOS Line Drivers. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Vani Prasad, Madhav P. Desai Interconnect Delay Minimization Using a Novel Pre-Mid-Post Buffer Strategy. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Li-Da Huang, Minghorng Lai, D. F. Wong 0001, Youxin Gao Maze Routing with Buffer Insertion under Transition Time Constraints. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Murat R. Becer, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Wei Chen, Massoud Pedram, Premal Buch Buffered Routing Tree Construction under Buffer Placement Blockages. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Blockage, Routing, Buffer
15Sunil Rafeeque Area efficient current steering DAC using current tuning. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Yu-Yen Mo, Chris C. N. Chu Hybrid dynamic/quadratic programming algorithm for interconnecttree optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Geert Van der Plas, Geert Debyser, Francky Leyn, Koen Lampaert, Jan Vandenbussche, Georges G. E. Gielen, Willy M. C. Sansen, Petar Veselinovic, Domine Leenaerts AMGIE-A synthesis environment for CMOS analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Te-Kai Liu, Santhosh Kumaran, Zongwei Luo Layered Queueing Models for Enterprise JavaBean Applications. Search on Bibsonomy EDOC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jiang Hu, Sachin S. Sapatnekar Algorithms for non-Hanan-based optimization for VLSI interconnectunder a higher-order AWE model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Forrest H. Bennett III, John R. Koza, Jessen Yu, William Mydlowec Automatic Synthesis, Placement, and Routing of an Amplifier Circuit by Means of Genetic Programming. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Mohamed Dessouky, Marie-Minerve Louërat A Layout Approach for Electrical and Physical Design Integration of High-Performance Analog Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Analog layout, layout generation
15Ingmar Neumann, Dominik Stoffel, Hendrik Hartje, Wolfgang Kunz Cell replication and redundancy elimination during placement for cycle time optimization. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kenneth Francken, Georges G. E. Gielen Methodology for analog technology porting including performance tuning. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Josef Eckmüller, Martin Groepl, Helmut E. Graeb Hierarchical Characterization of Analog Integrated CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hierarchical characterization, circuit class, topology independent, transistor pairs, circuit performances, functional constraints
15Jason Cong, Lei He 0001 An efficient technique for device and interconnect optimization in deep submicron designs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15K. Ravi Shanker, Vinita Vasudevan Synthesis of Analog CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synthesis, analog circuits
15Chia-Chun Tsai, De-Yu Kao, Chung-Kuan Cheng Performance driven bus buffer insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin Power-delay characteristics of CMOS adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Weitong Chuang, Ibrahim N. Hajj Delay and area optimization for compact placement by gate resizing and relocation. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Uwe Hinsberger, Reiner Kolla A cell-based approach to performance optimization of fanout-free circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Mark Hofmann, Jae K. Kim Delay Optimization of Combinational Static CMOS Logic. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
14Jonathan F. Bard, Narameth Nananukul The integrated production-inventory-distribution-routing problem. Search on Bibsonomy J. Sched. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Allocation model, Tabu search, Vehicle routing problem, Inventory, Production planning, Lot-sizing
14Julien Dardenne, Sébastien Valette, Nicolas Siauve, Noël Burais, Rémy Prost Variational tetrahedral mesh generation from discrete volume data. Search on Bibsonomy Vis. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Discrete data, Centroidal Voronoi Diagrams, Sizing field, Tetrahedral meshing
14Jianwei Niu 0003, Zhizhong Li 0003, Song Xu Block Division for 3D Head Shape Clustering. Search on Bibsonomy HCI (11) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Three dimensional anthropometry, block-division, clustering, sizing
14Kaushik Roy 0001, Jaydeep P. Kulkarni, Sumeet Kumar Gupta Device/circuit interactions at 22nm technology node. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 22 nm technology node, DG MOSFETs, scaling, SRAM, transistor sizing, FinFETs
14André L. V. Coelho, Daniel G. de Oliveira Dynamically tuning the population size in particle swarm optimization. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF numerical optimization, population sizing, parameter control
14Rosane Maria Maffei Vallim, David E. Goldberg, Xavier Llorà, Thyago S. P. C. Duque, André C. P. L. F. de Carvalho A new approach for multi-label classification based on default hierarchies and organizational learning. Search on Bibsonomy GECCO (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF default hierarchies, organizational sizing, LCS
14Bill Stackpole The evolution of a virtualized laboratory environment. Search on Bibsonomy SIGITE Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF curricular issues, laboratory environments, system sizing and benchmarking, networking, virtualization, distance learning, it education
14Robert Shorten, Douglas J. Leith On queue provisioning, network efficiency and the transmission control protocol. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TCP, TCP/IP, buffer sizing, AIMD
14Jeegar Tilak Shah, Marius Evers, Jeff Trull, Alper Halbutogullari Circuit optimization for leakage power reduction using multi-threshold voltages for high performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-VTH, optimization, timing, low-power design, microprocessor, EDA, leakage power, sizing
14Kumara Sastry, David E. Goldberg, Xavier Llorà Towards billion-bit optimization via a parallel estimation of distribution algorithm. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF billion-variable optimization, parallelization, vectorization, population sizing, large-scale optimization, efficiency enhancement, convergence time, scalability analysis, compact genetic algorithm
14Kumara Sastry, Martin Pelikan, David E. Goldberg Empirical analysis of ideal recombination on random decomposable problems. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF additively-decomposable problems, ideal crossover, genetic algorithms, building blocks, empirical analysis, population sizing, convergence time, problem difficulty, test problems, scalability analysis
14Chengliang Zhang, Kirk Kelsey, Xipeng Shen, Chen Ding 0001, Matthew Hertz, Mitsunori Ogihara Program-level adaptive memory management. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF heap sizing, program-level, adaptive, garbage collection, paging
14Kumara Sastry, D. D. Johnson, Alexis L. Thompson, David E. Goldberg, Todd J. Martínez, Jeff Leiding, Jane Owens Multiobjective genetic algorithms for multiscaling excited state direct dynamics in photochemistry. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiobjective genetic algorithms, non-domination, photochemistry, semiempirical methods, niching, population sizing, NSGA-II, convergence time, multiscale modeling
14Alan Piszcz, Terence Soule Genetic programming: optimal population sizes for varying complexity problems. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MAX binary tree problem, binomial-3, optimal, population sizing, problem difficulty
14Sherif Hammouda, Hazem Said, Mohamed Dessouky, Mohamed Tawfik, Quang Nguyen, Wael M. Badawy, Hazem M. Abbas, Hussein I. Shahein Chameleon ART: a non-optimization based analog design migration framework. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog reuse, design extraction, layout compaction, layout retargeting, circuit sizing
14Ming-Jong Yao The Economic Lot Scheduling Problem without Capacity Constraints. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, production, search algorithm, inventory, lot sizing
14Pierre Alliez, David Cohen-Steiner, Mariette Yvinec, Mathieu Desbrun Variational tetrahedral meshing. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delaunay mesh, isotropic meshing, sizing field, slivers
14Anuradha Agarwal, Glenn Wolfe, Ranga Vemuri Accuracy driven performance macromodeling of feasible regions during synthesis of analog circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance modeling, circuit sizing, analog synthesis
14Huan-Yun Wei, Shih-Chiang Tsao, Ying-Dar Jason Lin Assessing and Improving TCP Rate Shaping over Edge Gateways. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF rate enforcement, ACK-pacing, scheduling, TCP, testbed, packet scheduler, queuing, Bandwidth management, window-sizing
14Peter-Michael Seidel, Guy Even Delay-Optimized Implementation of IEEE Floating-Point Addition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE rounding, dual path algorithm, optimized gate sizing, buffer insertion, delay optimization, logical effort, Floating-point addition
14Gang Zhang, E. Aykut Dengi, Ronald A. Rohrer, Rob A. Rutenbar, L. Richard Carley A synthesis flow toward fast parasitic closure for radio-frequency integrated circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, synthesis, layout, sizing, parasitic, radio frequency
14Te-Kai Liu, Amir Behroozi, Santhosh Kumaran A performance model for a BPI middleware. Search on Bibsonomy EC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF business process integration middleware, capacity sizing, e-commerce workload, layered queueing model, synchronous and asynchronous requests, thread pool tuning, benchmark, performance modeling
14Sergio F. Ochoa, M. Cecilia Bastarrica, Germán Parra Estimating the Development Effort of Web Projects in Chile. Search on Bibsonomy LA-WEB The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Effort Estimation Method, Sizing Metric, Web Engineering, Web-based Information Systems
14Stephen P. Boyd, Lieven Vandenberghe, Abbas El Gamal, Sunghee Yun Design of robust global power and ground networks. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnect sizing, power and ground network design, convex optimization
14Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh Decoupling capacitance allocation for power supply noise suppression. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnect sizing, power and ground network design, convex optimization
14Laurence D. Merkle, George H. Gates Jr., Gary B. Lamont Scalability of an MPI-based fast messy genetic algorithm. Search on Bibsonomy SAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fixed solution quality, messy genetic algorithms, polypeptide structure prediction, parallel genetic algorithms, population sizing
14Michael Orshansky, James C. Chen, Chenming Hu A Statistical Performance Simulation Methodology for VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Jason Cong, Patrick H. Madden Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14Al Dunlop, Alper Demir 0001, Peter Feldmann, Sharad Kapur, David E. Long, Robert C. Melville, Jaijeet S. Roychowdhury Tools and Methodology for RF IC Design. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing and Crosstalk Driven Area Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14Bogdan G. Arsintescu, Edoardo Charbon, Enrico Malavasi, Umakanta Choudhury, William H. Kao General AC Constraint Transformation for Analog ICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14David S. Kung 0001 A Fast Fanout Optimization Algorithm for Near-Continuous Buffer Libraries. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14Behzad Razavi RF IC Design Challenges. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Buffer Insertion for Noise and Delay Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14Rajendran Panda, Abhijit Dharchoudhury, Tim Edwards, Joe Norton, David T. Blaauw Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Julian Culetu, Chaim Amir, John MacDonald A Practical Repeater Insertion Method in High Speed VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Frank Y. Yuan Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Hai Zhou 0001, D. F. Wong 0001 Global Routing with Crosstalk Constraints. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14Arun N. Lokanathan, Jay B. Brockman Process Multi-Circuit Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
14Paolo Ienne, Alexander Grießing Practical Experiences with Standard-Cell Based Datapath Design Tools: Do We Really Need Regular Layouts? Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
14Atsushi Takahashi 0001, Kazunori Inoue, Yoji Kajitani Clock-tree routing realizing a clock-schedule for semi-synchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-tree routing, semi-synchronous, deferred-merge-embedding (DME), synchronous, buffer insertion, buffer sizing, clock-schedule
14Martin Zauner CASE - Computer-Aided Systems Engineering, a New Approach for Developing IM-Systems with Special Consideration of CIM Systems. Search on Bibsonomy EUROCAST The full citation details ... 1993 DBLP  DOI  BibTeX  RDF active database management systems, client/server concepts, CIM concept, IM concepts, right-sizing, prototyping, open systems, RDBMS
14Robert G. Arnold, Robert O. Berg, James W. Thomas A Modular Approach to Real-Time Supersystems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF real-time embedded computers, Architectural building blocks, data driven software structure, example system sizing, memory/processor tradeoff, distributed computing, high performance, expandable, high throughput, architecture optimization
11Abdelaziz Lberni, Malika Alami Marktani, Abdelaziz Ahaitouf, Ali Ahaitouf Analog circuit sizing based on Evolutionary Algorithms and deep learning. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Wenjie Ao, Jiawei Chen 0002, Wenjie Lv Coordinate Sizing and Control of the Onboard Pulse Power Supply System. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mohammad Rohaninejad, Behdin Vahedi Nouri, Zdenek Hanzálek, Reza Tavakkoli-Moghaddam An integrated lot-sizing and scheduling problem in a reconfigurable manufacturing system under workforce constraints. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Caio Paziani Tomazella, Maristela Oliveira Santos, Douglas José Alem, Raf Jans Service-level-driven procurement and production lot-sizing problem with demand fulfilment. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ruiwen Liao, Céline Gicquel Integrated lot-sizing and energy supply planning with onsite generation of intermittent renewable energy. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Kevin A. Bunn, José A. Ventura Reformulations to improve the Lagrangian relaxation approach for the capacitated multi-product dynamic lot sizing problem with batch ordering. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Rafael Ajudarte de Campos, Aakil M. Caunhye, Douglas José Alem, Pedro Munari Fragility-based lot-sizing in veterinary pharmaceutical plants under demand uncertainty. Search on Bibsonomy Int. J. Prod. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Alexandre Honorat, Mickaël Dardaillon, Hugo Miomandre, Jean-François Nezan Automated Buffer Sizing of Dataflow Applications in a High-level Synthesis Workflow. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yufei Yang, Chenhao Xie 0001, Liansheng Liu, Philip H. W. Leong, Shuaiwen Leon Song Efficient Radius Search for Adaptive Foveal Sizing Mechanism in Collaborative Foveated Rendering Framework. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Diptish Saha, Najmeh Bazmohammadi, Abderezak Lashab, Juan C. Vasquez 0001, Josep M. Guerrero Power and Energy Management System of a Lunar Microgrid - Part II: Optimal Sizing and Operation of ISRU. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3867 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license