|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 6361 occurrences of 3151 keywords
|
|
|
Results
Found 10798 publication records. Showing 10798 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Kuiyang Lou, S. Prabhakar, Karthik Ramani |
Content-based Three-dimensional Engineering Shape Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 20th International Conference on Data Engineering, ICDE 2004, 30 March - 2 April 2004, Boston, MA, USA, pp. 754-765, 2004, IEEE Computer Society, 0-7695-2065-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Terumine Hayashi, Haruna Yoshioka, Tsuyoshi Shinogi, Hidehiko Kita, Haruhiko Takase |
Test data compression technique using selective don't-care identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 230-233, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Quan-Sen Sun, Sheng-Gen Zeng, Pheng-Ann Heng, De-Sen Xia |
Feature fusion method based on canonical correlation analysis and handwritten character recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 8th International Conference on Control, Automation, Robotics and Vision, ICARCV 2004, Kunming, China, 6-9 December 2004, Proceedings, pp. 1547-1552, 2004, IEEE, 0-7803-8653-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Harmen Wigert Boschloo, Thanh Mung Lam, Max Mulder, René van Paassen |
Collision avoidance for a remotely-operated helicopter using haptic feedback. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC (1) ![In: Proceedings of the IEEE International Conference on Systems, Man & Cybernetics: The Hague, Netherlands, 10-13 October 2004, pp. 229-235, 2004, IEEE, 0-7803-8566-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Yen-Wei Chen 0001, Xiang-Yan Zeng |
Image Feature Representation by the Subspace of Nonlinear PCA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, KES 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part II, pp. 337-343, 2004, Springer, 3-540-23206-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Kil-Han Choi, M. H. Shin, Sang-Hyun Bae, Chang-Hee Kwon, In-Ho Ra |
Similarity Retrieval Based on SOM-Based R*-Tree. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2004, 4th International Conference, Kraków, Poland, June 6-9, 2004, Proceedings, Part III, pp. 234-241, 2004, Springer, 3-540-22116-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Jianghui Liu, Jason T. L. Wang, Wynne Hsu, Katherine G. Herbert |
XML Clustering by Principal Component Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 16th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2004), 15-17 November 2004, Boca Raton, FL, USA, pp. 658-662, 2004, IEEE Computer Society, 0-7695-2236-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Bin Luo 0001, Richard C. Wilson 0001, Edwin R. Hancock |
Spectral Clustering of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GbRPR ![In: Graph Based Representations in Pattern Recognition, 4th IAPR International Workshop, GbRPR 2003, York, UK, June 30 - July 2, 2003, Proceedings, pp. 190-201, 2003, Springer, 3-540-40452-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Thanh Tho Quan, Siu Cheung Hui, Alvis Cheuk M. Fong |
Mining Multiple Clustering Data for Knowledge Discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discovery Science ![In: Discovery Science, 6th International Conference, DS 2003, Sapporo, Japan, October 17-19,2003, Proceedings, pp. 452-459, 2003, Springer, 3-540-20293-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Irith Pomeranz, Sudhakar M. Reddy |
Test Data Volume Reduction by Test Data Realignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, pp. 434-439, 2003, IEEE Computer Society, 0-7695-1951-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Turan Demirci, Ilhan Hatirnaz, Yusuf Leblebici |
Full-custom CMOS realization of a high-performance binary sorting engine with linear area-time complexity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 453-456, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Xiaoliang Bai, Sujit Dey, Angela Krstic |
HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, USA, pp. 112-121, 2003, IEEE Computer Society, 0-7803-8106-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Bin Luo 0001, Richard C. Wilson 0001, Edwin R. Hancock |
Spectral Clustering of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAIP ![In: Computer Analysis of Images and Patterns, 10th International Conference, CAIP 2003, Groningen, The Netherlands, August 25-27, 2003, Proceedings, pp. 540-548, 2003, Springer, 3-540-40730-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Vojtech Franc, Václav Hlavác |
Greedy Algorithm for a Training Set Reduction in the Kernel Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAIP ![In: Computer Analysis of Images and Patterns, 10th International Conference, CAIP 2003, Groningen, The Netherlands, August 25-27, 2003, Proceedings, pp. 426-433, 2003, Springer, 3-540-40730-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal |
State and Fault Information for Compaction-Based Test Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(1), pp. 63-72, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
sequential circuits, ATPG, test compaction |
15 | Guang-Ho Cha, Xiaoming Zhu, P. Petkovic, Chin-Wan Chung |
An efficient indexing method for nearest neighbor searches in high-dirnensional image databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Multim. ![In: IEEE Trans. Multim. 4(1), pp. 76-87, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Christopher W. Milner, Jack W. Davidson |
Quick piping: a fast, high-level model for describing processor pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES-SCOPES ![In: Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems (LCTES'02-SCOPES'02), Berlin, Germany, 19-21 June 2002, pp. 175-184, 2002, ACM, 1-58113-527-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
embedded systems, pipelines, modeling of computer architecture |
15 | Zhi-Hong Deng, Shi-Wei Tang, Dongqing Yang, Ming Zhang 0004, Xiao-Bin Wu, Meng Yang |
A Linear Text Classification Algorithm Based on Category Relevance Factors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICADL ![In: Digital Libraries: People, Knowledge, and Technology, 5th International Conference on Asian Digital Libraries, ICADL 2002 Singapore, December 11-14, 2002, Proceedings, pp. 88-98, 2002, Springer, 3-540-00261-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Xiang-Yan Zeng, Yen-Wei Chen 0001, Zensho Nakao |
Image Feature Representation by the Subspace of Nonlinear PCA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (2) ![In: 16th International Conference on Pattern Recognition, ICPR 2002, Quebec, Canada, August 11-15, 2002., pp. 228-231, 2002, IEEE Computer Society, 0-7695-1695-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Bin Luo 0001, Richard C. Wilson 0001, Edwin R. Hancock |
Graph Spectral Approach for Learning View Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (3) ![In: 16th International Conference on Pattern Recognition, ICPR 2002, Quebec, Canada, August 11-15, 2002., pp. 785-788, 2002, IEEE Computer Society, 0-7695-1695-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Kun Seok Oh, Zaher Aghbari, Pan-Koo Kim |
Fast k-NN Image Search with Self-Organizing Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIVR ![In: Image and Video Retrieval, International Conference, CIVR 2002, London, UK, July 18-19, 2002, Proceedings, pp. 299-308, 2002, Springer, 3-540-43899-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Ranganathan Sankaralingam, Nur A. Touba |
Controlling Peak Power During Scan Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA, pp. 153-159, 2002, IEEE Computer Society, 0-7695-1570-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Michael E. Saks, Xiaodong Sun |
Space lower bounds for distance approximation in the data stream model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings on 34th Annual ACM Symposium on Theory of Computing, May 19-21, 2002, Montréal, Québec, Canada, pp. 360-369, 2002, ACM, 1-58113-495-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Ioannis Ioannidis, Ananth Grama, Mikhail J. Atallah |
A Secure Protocol for Computing Dot-Products in Clustered and Distributed Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 31st International Conference on Parallel Processing (ICPP 2002), 20-23 August 2002, Vancouver, BC, Canada, pp. 379-384, 2002, IEEE Computer Society, 0-7695-1677-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Secure dot-products, Application-level security protocols, Distributed secure computations |
15 | Uri Kirsch, Panos Y. Papalambros |
Exact and Accurate Reanalysis of Structures for Geometrical Changes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eng. Comput. ![In: Eng. Comput. 17(4), pp. 363-372, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Approximate reanalysis, Geometrical optimization, Structural optimization |
15 | Anne H. H. Ngu, Quan Z. Sheng, Du Q. Huynh, Ron Lei |
Combining multi-visual features for efficient indexing in a large image database. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 9(4), pp. 279-293, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Neural network, Image retrieval, High-dimensional indexing |
15 | Zeljko Devcic, Sven Loncaric |
Blind restoration of space-invariant image degradations in the singular value decomposition domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (2) ![In: Proceedings of the 2001 International Conference on Image Processing, ICIP 2001, Thessaloniki, Greece, October 7-10, 2001, pp. 49-52, 2001, IEEE, 0-7803-6725-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Kenichi Ichino, Takeshi Asakawa, Satoshi Fukumoto, Kazuhiko Iwasaki, Seiji Kajihara |
Hybrid BIST Using Partially Rotational Scan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, pp. 379-384, 2001, IEEE Computer Society, 0-7695-1378-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Ari Visa, Jarmo Toivonen, Hannu Vanharanta, Barbro Back |
Prototype Matching - Finding Meaning in the Books of Bible. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 34th Annual Hawaii International Conference on System Sciences (HICSS-34), January 3-6, 2001, Maui, Hawaii, USA, 2001, IEEE Computer Society, 0-7695-0981-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Bible, Data Mining, Neural Networks, Self-Organizing Maps |
15 | Louis J. Billera, Gábor Hetyei |
Decompositions of Partially Ordered Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Order ![In: Order 17(2), pp. 141-166, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Cohen-Macaulay, EL-labeling, flag, flag f-vector, leveled planar, lexicographically shellable, shellable, lattice, planar, partially ordered set, chain |
15 | Timo Kaukoranta, Pasi Fränti, Olli Nevalainen |
A fast exact GLA based on code vector activity detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 9(8), pp. 1337-1342, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Guy G. Lemieux, Paul Leventis, David M. Lewis |
Generating highly-routable sparse crossbars for PLDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2000, Monterey, CA, USA, February 10-11, 2000, pp. 155-164, 2000, ACM, 1-58113-193-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Kyongil Yoon, Daniel DeMenthon, David S. Doermann |
Event Detection from MPEG Video in the Compressed Domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 15th International Conference on Pattern Recognition, ICPR'00, Barcelona, Spain, September 3-8, 2000., pp. 1819-1822, 2000, IEEE Computer Society, 0-7695-0750-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Ondrej Novák, Jiri Nosek |
On Using Deterministic Test Sets in BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTW ![In: 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 3-5 July 2000, Palma de Mallorca, Spain, pp. 127-132, 2000, IEEE Computer Society, 0-7695-0646-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
15 | Ranganathan Sankaralingam, Rama Rao Oruganti, Nur A. Touba |
Static Compaction Techniques to Control Scan Vector Power Dissipation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 18th IEEE VLSI Test Symposium (VTS 2000), 30 April - 4 May 2000, Montreal, Canada, pp. 35-42, 2000, IEEE Computer Society, 0-7695-0613-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Static Compaction, Test Vector Compaction, Heat Minimization, Low Power, Built-In Self-Test, Design-for-Testability, Integrated Circuits, switching activity, Scan Chains, Peak power, Embedded Cores, Digital Testing |
15 | Hidefumi Kobatake, Shigeru Hashimoto |
Convergence index filter for vector fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 8(8), pp. 1029-1038, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Tom Chen 0001, Anneliese von Mayrhauser, Amjad Hajjar, Charles Anderson 0001, Mehmet Sahinoglu |
How Much Testing is Enough? Applying Stopping Rules to Behavioral Model Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 4th IEEE International Symposium on High-Assurance Systems Engineering (HASE '99), 17-19 November 1999, Washington, D.C, USA, Proceedings, pp. 249-256, 1999, IEEE Computer Society, 0-7695-0418-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Behavioral Model Testing, Compound Poisson, Effort-Domain, Empirical Bayesian Analysis, Negative Binomial Distribution (NBD), Poisson LSD, Testing Strategy, Stopping Rule |
15 | Timo Kaukoranta, Pasi Fränti, Olli Nevalainen |
Reduced Comparison Search for the Exact GLA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Compression Conference ![In: Data Compression Conference, DCC 1999, Snowbird, Utah, USA, March 29-31, 1999., pp. 33-41, 1999, IEEE Computer Society, 0-7695-0096-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
image compression, Vector quantization, clustering algorithms, codebook generation |
15 | Sundar G. Sankaran, Aloysius A. Beex |
Convergence analysis results for the class of affine projection algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 251-254, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Lan Zhao, D. M. H. Walker, Fabrizio Lombardi |
IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 47(10), pp. 1136-1152, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
15 | Dennis Hui, Daniel F. Lyons, David L. Neuhoff |
Reduced storage VQ via secondary quantization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 7(4), pp. 477-495, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
15 | Anneliese von Mayrhauser, Andre Bai, Tom Chen 0001, Charles Anderson 0001, Amjad Hajjar |
Fast Antirandom (FAR) Test Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 3rd IEEE International Symposium on High-Assurance Systems Engineering (HASE '98), 13-14 November 1998, Washington, D.C, USA, Proceedings, pp. 262-269, 1998, IEEE Computer Society, 0-8186-9221-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Antirandom test generation, generation efficiency, test coverage |
15 | Lan Zhao, D. M. H. Walker, Fabrizio Lombardi |
Detection of bridging faults in logic resources of configurable FPGAs using I_DDQ. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1998, Washington, DC, USA, October 18-22, 1998, pp. 1037-1046, 1998, IEEE Computer Society, 0-7803-5093-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
IDDQ Tes t, Configurable Logic Blocks, FPGA, Testing, Bridging Fault, Programming Phase |
15 | Jayashree Karlekar, P. G. Poonacha, Uday B. Desai |
Image Compression Using Zerotree and Multi-Stage Vector Quantization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (2) ![In: Proceedings 1997 International Conference on Image Processing, ICIP '97, Santa Barbara, California, USA, October 26-29, 1997, pp. 610-612, 1997, IEEE Computer Society, 0-8186-8183-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
15 | Nasir D. Memon, Khalid Sayood |
Scan predictive vector quantization of multispectral images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 5(2), pp. 330-337, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
15 | Robert H. Klenke, James H. Aylor, Joseph M. Wolf |
An analysis of fault partitioning algorithms for fault partitioned ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 231-239, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
fault partitioning algorithm, VLSI device, detected fault broadcasting, preprocessing time, parallel processing, parallel processing, VLSI, fault diagnosis, integrated circuit testing, ATPG, automatic testing, dynamic load balancing, NP complete problem, digital system, test vector generation |
15 | Ted Stanion, Debashis Bhattacharya, Carl Sechen |
An efficient method for generating exhaustive test sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(12), pp. 1516-1525, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
15 | Soo Young Lee, Kewal K. Saluja |
Test application time reduction for sequential circuits with scan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(9), pp. 1128-1140, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
15 | William P. Marnane, Will R. Moore |
Testing VLSI regular arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 6(2), pp. 153-177, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
testing regular arrays, C-testability, test vector generation |
15 | Kwang-Ting Cheng |
Partial scan designs without using a separate scan clock. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 277-282, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock |
15 | Niraj K. Jha, Abha Ahuja |
Easily testable nonrestoring and restoring gate-level cellular array dividers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(1), pp. 114-123, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
14 | Steven T. Dougherty, Hongwei Liu |
Independence of vectors in codes over rings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Des. Codes Cryptogr. ![In: Des. Codes Cryptogr. 51(1), pp. 55-68, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classifications (2000) Primary 94B05, Secondary 13A99 |
14 | Michele Favalli, Marcello Dalpasso |
How Many Test Vectors We Need to Detect a Bridging Fault? ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 25(1), pp. 79-95, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Test generation, Fault simulation, Bridging faults |
14 | Chao Tian 0002, Vinay A. Vaishampayan, Neil J. A. Sloane |
A Coding Algorithm for Constant Weight Vectors: A Geometric Approach Based on Dissections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 55(3), pp. 1051-1060, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Allen Van Gelder, Alex Pang |
Using PVsolve to Analyze and Locate Positions of Parallel Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 15(4), pp. 682-695, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Pekka Marttinen, Jing Tang 0002, Bernard De Baets, Peter Dawyndt, Jukka Corander |
Bayesian Clustering of Fuzzy Feature Vectors Using a Quasi-Likelihood Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 31(1), pp. 74-85, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Andrew T. Walden, Patrick Rubin-Delanchy |
On Testing for Impropriety of Complex-Valued Gaussian Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 57(3), pp. 825-834, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Li Xiaoyan, Hongbin Zhang |
Using the Selected Candidate Vectors to Determine Kernel Parameters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGIV ![In: Sixth International Conference on Computer Graphics, Imaging and Visualization: New Advances and Trends, CGIV 2009, 11-14 August 2009, Tianjin, China, pp. 398-401, 2009, IEEE Computer Society, 978-0-7695-3789-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Vyron Antoniou, Jeremy G. Morley, Mordechai (Muki) Haklay |
Tiled Vectors: A Method for Vector Transmission over the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
W2GIS ![In: Web and Wireless Geographical Information Systems, 9th International Symposium, W2GIS 2009, Maynooth, Ireland, December 7-8, 2009. Proceedings, pp. 56-71, 2009, Springer, 978-3-642-10600-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
AJAX, Geographic Information, Vector data, Web mapping |
14 | Carlos Sáenz, Begoña Hernández, Coro Alberdi, Santiago Alfonso, José Manuel Diñeiro |
The Number of Linearly Independent Vectors in Spectral Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCIA ![In: Image Analysis, 16th Scandinavian Conference, SCIA 2009, Oslo, Norway, June 15-18, 2009. Proceedings, pp. 570-579, 2009, Springer, 978-3-642-02229-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Spectral databases, effective dependence, linear correlation, collinearity |
14 | Gilles Chabert, Luc Jaulin, Xavier Lorca |
A Constraint on the Number of Distinct Vectors with Application to Localization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2009, 15th International Conference, CP 2009, Lisbon, Portugal, September 20-24, 2009, Proceedings, pp. 196-210, 2009, Springer, 978-3-642-04243-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Stein L. Tomassen, Darijus Strasunskas |
Relating ontology and web terminologies by feature vectors: unsupervised construction and experimental validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
iiWAS ![In: iiWAS'2009 - The Eleventh International Conference on Information Integration and Web-based Applications and Services, 14-16 December 2009, Kuala Lumpur, Malaysia, pp. 88-95, 2009, ACM, 978-1-60558-660-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
feature vector construction, ontology, evaluation |
14 | Te-Tsung Lin, Shi-Chun Tsai, Hsin-Lung Wu |
Simple Distance-Preserving Mappings From Ternary Vectors to Permutations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 54(7), pp. 3251-3256, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Rui J. P. de Figueiredo |
Processing fuzzy set membership functionals as vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 12(3), pp. 265-273, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Zadeh, Alzheimer’s, Neural networks, Fuzzy, Functionals, Nonlinear, Sets, Membership, Analytic, Hilbert space |
14 | Lukás Starecek, Lukás Sekanina, Zdenek Kotásek |
Reduction of Test Vectors Volume by Means of Gate-Level Reconfiguration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), Bratislava, Slovakia, April 16-18, 2008, pp. 255-268, 2008, IEEE Computer Society, 978-1-4244-2276-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Venkata Snehith Cherukuri, K. Selçuk Candan |
Propagation-vectors for trees (PVT): concise yet effective summaries for hierarchical data and trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LSDS-IR ![In: Proceeding of the 2008 ACM Workshop on Large-Scale Distributed Systems for Information Retrieval, LSDS-IR 2008, Napa Valley, California, USA, October 30, 2008, pp. 3-10, 2008, ACM, 978-1-60558-254-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
tree summarization, p2p search |
14 | Peter J. Schreier |
The degree of impropriety (noncircularity) of complex random vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, USA, pp. 3909-3912, 2008, IEEE, 1-4244-1484-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Hiromasa Fujihara, Masataka Goto |
Three techniques for improving automatic synchronization between music and lyrics: Fricative detection, filler model, and novel feature vectors for vocal activity detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, USA, pp. 69-72, 2008, IEEE, 1-4244-1484-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Peng Ren 0001, Richard C. Wilson 0001, Edwin R. Hancock |
Pattern vectors from the Ihara zeta function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 19th International Conference on Pattern Recognition (ICPR 2008), December 8-11, 2008, Tampa, Florida, USA, pp. 1-4, 2008, IEEE Computer Society, 978-1-4244-2175-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Sara Lana-Serrano, Julio Villena-Román, José Carlos González Cristóbal, José Miguel Goñi-Menoyo |
MIRACLE at ImageCLEFannot 2008: Nearest Neighbour Classification of Image Feature Vectors for Medical Image Annotation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLEF ![In: Evaluating Systems for Multilingual and Multimodal Information Access, 9th Workshop of the Cross-Language Evaluation Forum, CLEF 2008, Aarhus, Denmark, September 17-19, 2008, Revised Selected Papers, pp. 728-731, 2008, Springer, 978-3-642-04446-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
IRMA code, ImageCLEF Medical Automatic Image Annotation task, CLEF, 2008, machine learning, Information Retrieval, classification, medical image, image annotation, learning algorithms, nearest-neighbour, axis |
14 | Richard Neville, Sam Holland |
Generating weights and generating vectors to map complex functions with artificial neural networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN ![In: Proceedings of the International Joint Conference on Neural Networks, IJCNN 2008, part of the IEEE World Congress on Computational Intelligence, WCCI 2008, Hong Kong, China, June 1-6, 2008, pp. 30-37, 2008, IEEE, 978-1-4244-1820-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Nicolas Brodu |
Multifractal feature vectors for Brain-Computer interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN ![In: Proceedings of the International Joint Conference on Neural Networks, IJCNN 2008, part of the IEEE World Congress on Computational Intelligence, WCCI 2008, Hong Kong, China, June 1-6, 2008, pp. 2883-2890, 2008, IEEE, 978-1-4244-1820-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Peyman Kabiri, Hamed Shahbazi, Mohsen Soryani |
Statistical shape based multispectral image retrieval extracting Power Spectrum Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDIM ![In: Third IEEE International Conference on Digital Information Management (ICDIM), November 13-16, 2008, London, UK, Proceedings, pp. 650-655, 2008, IEEE, 978-1-4244-2917-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Konstantinos Drakakis, Rod Gow, Scott T. Rickard |
Distance vectors in Costas arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISS ![In: 42nd Annual Conference on Information Sciences and Systems, CISS 2008, Princeton, NJ, USA, 19-21 March 2008, pp. 1234-1239, 2008, IEEE, 978-1-4244-2246-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Roman C. Kordasiewicz, Michael D. Gallant, Shahram Shirani |
Affine Motion Prediction Based on Translational Motion Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 17(10), pp. 1388-1394, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Komei Fukuda, Christophe Weibel |
f-Vectors of Minkowski Additions of Convex Polytopes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discret. Comput. Geom. ![In: Discret. Comput. Geom. 37(4), pp. 503-516, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Vijay Ganesh, David L. Dill |
A Decision Procedure for Bit-Vectors and Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 19th International Conference, CAV 2007, Berlin, Germany, July 3-7, 2007, Proceedings, pp. 519-531, 2007, Springer, 978-3-540-73367-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Sindhumol S., M. Wilscy |
Hyperspectral Image Analysis - A Robust Algorithm Using Support Vectors and Principal Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCTA ![In: 2007 International Conference on Computing: Theory and Applications (ICCTA 2007), 5-7 March 2007, Kolkata, India, pp. 389-395, 2007, IEEE Computer Society, 978-0-7695-2770-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Yonas G. Woldesenbet, Gary G. Yen |
Dynamic evolutionary algorithm with variable relocation vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2007, 25-28 September 2007, Singapore, pp. 1748-1755, 2007, IEEE, 978-1-4244-1339-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Akihiko Watabe, Kazumi Komiya, Kayo Suzuki, Hiroaki Ikeda |
Experimental Method of Pitching Scene Retrieval Utilizing Statistically Processed Motion Quantities Defined from Motion Vectors on Blocked Frames. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: Proceedings of the IEEE Workshop on Signal Processing Systems, SiPS 2007, Proceedings, October 17-19, 2007, Eton Hotel, Shanghai, China, pp. 436-440, 2007, IEEE, 1-4244-1222-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Kaeyoung Shin, Raghu Pasupathy |
A method for fast generation of bivariate Poisson random vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference, WSC 2007, Washington, DC, USA, December 9-12, 2007, pp. 472-479, 2007, WSC, 1-4244-1306-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Erika Amaro-Camargo, Carlos A. Reyes García |
Applying Statistical Vectors of Acoustic Characteristics for the Automatic Classification of Infant Cry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007, Proceedings, pp. 1078-1085, 2007, Springer, 978-3-540-74170-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Baby Cry, Statistic Parameters, Classification, Ensembles, Data Reduction |
14 | B. Zhang, J. Jiang, G. Xiao |
Video Object Tracking Via Central Macro-blocks and Directional Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, 4th International Conference, ICIAR 2007, Montreal, Canada, August 22-24, 2007, Proceedings, pp. 593-601, 2007, Springer, 978-3-540-74258-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Kumar Chellapilla, John C. Platt |
Redundant Bit Vectors for Robust Indexing and Retrieval of Electronic Ink. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 9th International Conference on Document Analysis and Recognition (ICDAR 2007), 23-26 September, Curitiba, Paraná, Brazil, pp. 387-391, 2007, IEEE Computer Society, 978-0-7695-2822-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Yoshinori Itai, Hyoungseop Kim, Seiji Ishikawa, Shigehiko Katsuragawa, Takayuki Ishida, Ikuo Kawashita, Kazuo Awai, Kunio Doi |
An Image Warping Method for Temporal Subtraction Images Employing Smoothing of Shift Vectors on MDCT Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONIP (1) ![In: Neural Information Processing, 14th International Conference, ICONIP 2007, Kitakyushu, Japan, November 13-16, 2007, Revised Selected Papers, Part I, pp. 993-1001, 2007, Springer, 978-3-540-69154-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Temporal subtraction method, Image warping, CT image, Lung nodule |
14 | Yen-Ying Huang, Shi-Chun Tsai, Hsin-Lung Wu |
On the Construction of Permutation Arrays via Mappings from Binary Vectors to Permutations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Des. Codes Cryptogr. ![In: Des. Codes Cryptogr. 40(2), pp. 139-155, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
AMS Classification 94B05 |
14 | Jong Dae Oh, Rae-Hong Park |
Reconstruction of intermediate views from stereoscopic images using disparity vectors estimated by the geometrical constraint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 16(5), pp. 638-641, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Jen-Chun Chang |
Distance-increasing mappings from binary vectors to permutations that increase hamming distances by at least two. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 52(4), pp. 1683-1689, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Hiroki Kusumoto, Yoshiyasu Takefuji |
O(log2M) Self-Organizing Map Algorithm Without Learning of Neighborhood Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Neural Networks ![In: IEEE Trans. Neural Networks 17(6), pp. 1656-1661, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Robert Bernecky, Stephan Herhut, Sven-Bodo Scholz, Kai Trojahner, Clemens Grelck, Alexander V. Shafarenko |
Index Vector Elimination - Making Index Vectors Affordable. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFL ![In: Implementation and Application of Functional Languages, 18th International Symp osium, IFL 2006, Budapest, Hungary, September 4-6, 2006, Revised Selected Papers, pp. 19-36, 2006, Springer, 978-3-540-74129-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Samantika Subramaniam, Gabriel H. Loh |
Store vectors for scalable memory dependence prediction and scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 12th International Symposium on High-Performance Computer Architecture, HPCA-12 2006, Austin, Texas, USA, February 11-15, 2006, pp. 65-76, 2006, IEEE Computer Society, 0-7803-9368-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Chris McCool, Jamie Cook, Vinod Chandran, Sridha Sridharan |
Feature Modelling of PCA Difference Vectors for 2D and 3D Face Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AVSS ![In: Advanced Video and Signal Based Surveillance, 2006 IEEE International Conference on Video and Signal Based Surveillance (AVSS'06), 22-24 November 2006, Sydney, Australia., pp. 57, 2006, IEEE Computer Society, 0-7695-2688-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | D. Sculley, Carla E. Brodley |
Compression and Machine Learning: A New Perspective on Feature Space Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCC ![In: 2006 Data Compression Conference (DCC 2006), 28-30 March 2006, Snowbird, UT, USA, pp. 332-332, 2006, IEEE Computer Society, 0-7695-2545-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Quan-Sen Sun, Zhong Jin, Pheng-Ann Heng, De-Shen Xia |
An Indirect and Efficient Approach for Solving Uncorrelated Optimal Discriminant Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Computational Intelligence, International Conference on Intelligent Computing, ICIC 2006, Kunming, China, August 16-19, 2006. Proceedings, Part II, pp. 1204-1209, 2006, Springer, 3-540-37274-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Pierre Amiranoff, Albert Cohen 0001, Paul Feautrier |
Beyond Iteration Vectors: Instancewise Relational Abstract Domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 13th International Symposium, SAS 2006, Seoul, Korea, August 29-31, 2006, Proceedings, pp. 161-180, 2006, Springer, 3-540-37756-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Aaron Bass, Ken Been |
Progressive Compression of Normal Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DPVT ![In: 3rd International Symposium on 3D Data Processing, Visualization and Transmission (3DPVT 2006), 14-16 June 2006, Chapel Hill, North Carolina, USA, pp. 1010-1017, 2006, IEEE Computer Society, 978-0-7695-2825-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Maud Marchal, Peggy Provent, Frédéric Ruyer, Pirouz Djoharian, Fabrice Neyret |
Computer-Assisted Teaching in Class Situation: A High-School Math Lab on Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Edutainment ![In: Technologies for E-Learning and Digital Entertainment, First International Conference, Edutainment 2006, Hangzhou, China, April 16-19, 2006, Proceedings, pp. 281-290, 2006, Springer, 3-540-33423-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Ming Li 0017, Xiao-Shan Gao, Jin-San Cheng |
Generating Symbolic Interpolants for Scattered Data with Normal Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(6), pp. 861-874, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Hermite interpolation surface, Bézier curve, sweeping curve, solid modelling |
14 | Christoforos N. Hadjicostis |
Aliasing Probability Calculations for Arbitrary Compaction under Independently Selected Random Test Vectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(12), pp. 1614-1627, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
fault activation probabilities, random testing, compaction, Aliasing probability |
14 | Jen-Chun Chang |
Distance-increasing mappings from binary vectors to permutations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 51(1), pp. 359-363, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 10798 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|