The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for verilog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1996 (20) 1997-1998 (28) 1999 (24) 2000 (30) 2001 (23) 2002 (33) 2003 (48) 2004 (44) 2005 (60) 2006 (57) 2007 (64) 2008 (68) 2009 (35) 2010-2011 (18) 2012-2013 (24) 2014-2015 (30) 2016 (16) 2017 (18) 2018 (15) 2019 (24) 2020 (15) 2021-2022 (33) 2023 (22) 2024 (5)
Publication types (Num. hits)
article(130) book(8) incollection(4) inproceedings(609) phdthesis(3)
Venues (Conferences, Journals, ...)
DATE(27) CoRR(24) DAC(22) ISCAS(20) VLSI Design(19) DSD(12) ICCAD(12) FPGA(11) MEMOCODE(11) ICCD(10) IEEE Trans. Comput. Aided Des....(10) IEEE Trans. Very Large Scale I...(10) ISQED(10) FMCAD(9) MSE(9) PATMOS(9) More (+10 of total 292)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 313 keywords

Results
Found 754 publication records. Showing 754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Jun-Hee Mun, Shung Han Cho, Sangjin Hong Flexible Controller Design and Its Application for Concurrent Execution of Buffer Centric Dataflows. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF flexible controller design, buffer centric dataflow, reconfigurable architecture
10Konrad Slind, Scott Owens, Juliano Iyoda, Mike Gordon Proof producing synthesis of arithmetic and cryptographic hardware. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cryptography, Compiling, Theorem proving, Hardware synthesis, High assurance
10Fabrizio Ferrandi, Pier Luca Lanzi, Gianluca Palermo, Christian Pilato, Donatella Sciuto, Antonino Tumeo An Evolutionary Approach to Area-Time Optimization of FPGA designs. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Nishant Sinha 0001, Edmund M. Clarke SAT-Based Compositional Verification Using Lazy Learning. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10David M. Russinoff A Mathematical Approach to RTL Verification. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Barbara Jobstmann, Stefan J. Galler, Martin Weiglhofer, Roderick Bloem Anzu: A Tool for Property Synthesis. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Scott Little, Alper Sen 0001, Chris J. Myers Application of Automated Model Generation Techniques to Analog/Mixed-Signal Circuits. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Gaurav Singh 0006, Sandeep K. Shukla Model Checking Bluespec Specified Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Behnam Ghavami, Mahtab Niknahad, Mehrdad Najibi, Hossein Pedram A Fast and Accurate Power Estimation Methodology for QDI Asynchronous Circuits. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Francesco Centurelli, Luca Giancane, Mauro Olivieri, Giuseppe Scotti, Alessandro Trifiletti A Statistical Model of Logic Gates for Monte Carlo Simulation Including On-Chip Variations. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Michael J. Wirthlin, Misha Burich, Andrew Guyler, Brian Von Herzen High-level languages: the future or a passing fad? Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-level design languages, RTL design
10Min Chen 0024, Wei Zhao, Frank Liu 0001, Yu Cao 0001 Fast statistical circuit analysis with finite-point based transistor model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shuilong Huang, Huainan Ma, Zhihua Wang Modeling and simulation to the design of SigmaDelta fractional-N frequency synthesizer. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sanjit A. Seshia, Wenchao Li 0001, Subhasish Mitra Verification-guided soft error resilience. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jason A. Blome, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Self-calibrating Online Wearout Detection. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yan Lin Aung, Douglas L. Maskell, Timothy F. Oliver, Bertil Schmidt, William Bong C-Based Design Methodology for FPGA Implementation of ClustalW MSA. Search on Bibsonomy PRIB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ClustalW, FPGA, multiple sequence alignment, sequence analysis
10Muhammad T. Anan, Ghulam M. Chaudhry A Real-Time Hardware-Based Scheduler For Next-Generation Optical Burst Switches. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xiao Hu, Pengyong Ma, Shuming Chen Scheduling for Combining Traffic of On-Chip Trace Data in Embedded Multi-core Processor. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Mark A. Erle, Michael J. Schulte, Brian J. Hickmann Decimal Floating-Point Multiplication Via Carry-Save Addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jin-Oh Jeon, Su-Bong Ryu, Tae-Min Chang, Ho-Yong Choi, Min-Sup Kang Digital Codec Design for RFID Tag Based on Cryptographic Authentication Protocol. Search on Bibsonomy FGCN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Flavius Gruian, Mark Westmijze BlueJEP: a flexible and high-performance Java embedded processor. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, Java processor, Bluespec
10Chao Wang 0068, Wu Zhilin, Peng Cao 0002, Li Jie An Efficient VLSI Architecture for Lifting-Based Discrete Wavelet Transform. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA code acceleration
10Mahsan Amoui, Daniel Große, Mitchell A. Thornton, Rolf Drechsler Evaluation of Toggle Coverage for MVL Circuits Specified in the SystemVerilog HDL. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Chengjun Zhang, Chunyan Wang 0004, M. Omair Ahmad A VLSI Architecture for a Fast Computation of the 2-D Discrete Wavelet Transform. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ik-Jae Chun, Tae Moon Roh, Bo-Gwan Kim Binary-Truncated CDMA-Based On-Chip Network. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jun Wang 0010, Kyeong-Yuk Min, Jong-Wha Chong A Hybrid Image Coding in Overdriving for Motion Blur Reduction in LCD. Search on Bibsonomy ICEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Overdriving, Block Truncation Coding, Adaptive Quantization Coding, Compression, LCD, Motion blur
10Mustafa Parlak, Ilker Hamzaoglu A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jin-Oh Jeon, Su-Bong Ryu, Sang-Jo Park, Min-Sup Kang Strong Authentication Protocol for RFID Tag Using SHA-1 Hash Algorithm. Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Strong authentication protocol, SHA-1 hash algorithm, Three-way challenge response, ISO/IEC 1800-3 standard, Digital Codec design, RFID Tag
10Adam Handzlik, Andrzej Jablonski "Chameleon" Software Defined Control Platform. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Signal processing architectures, control platform development, innovative reprogrammable technology, virtual Programmable Logic Controller, Field Programmable Gate Arrays, IP Core
10Haruhiko Kaneko, Eiji Fujiwara Reconstruction of Erasure Correcting Codes for Dependable Distributed Storage System without Spare Disks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Seung-Man Pyen, Kyeong-Yuk Min, Jong-Wha Chong An Efficient VLSI Architecture for Full-Search Variable Block Size Motion Estimation in H.264/AVC. Search on Bibsonomy MMM (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VBSME, VLSI, motion estimation, H.264/AVC, block matching algorithm
10Vahid Lari, Mehdi Dehbashi, Seyed Ghassem Miremadi, Navid Farazmand Assessment of Message Missing Failures in FlexRay-Based Networks. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shrenik Mehta, Dwayne Lee Industry perspective on chip multi-threading, bridging the gap with academia using OpenSPARC. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shobha Vasudevan, Vinod Viswanath, Jacob A. Abraham Efficient Microprocessor Verification using Antecedent Conditioned Slicing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jiang Long, Andrew Seawright Synthesizing SVA Local Variables for Formal Verification. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Foster F. Dai, Charles E. Stroud, Dayu Yang Automatic linearity and frequency response tests with built-in pattern generator and analyzer. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Stephen A. Edwards, Olivier Tardieu SHIM: a deterministic model for heterogeneous embedded systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hyunchul Shin, Jin-Aeon Lee, Lee-Sup Kim A cost-effective VLSI architecture for anisotropic texture filtering in limited memory bandwidth. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jaehwan John Lee, Vincent John Mooney A Novel {O(n)} Parallel Banker's Algorithm for System-on-a-Chip. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parallel Banker's Algorithm, deadlock avoidance in hardware, multiprocessor system-on-a-chip
10Kris Tiri, Ingrid Verbauwhede A digital design flow for secure integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001, Ling-Yi Liu Observability Statement Coverage Based on Dynamic Factored Use-Definition Chains for Functional Verification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic factored use-definition chains, observability, data-flow analysis, design verification, coverage metrics
10Patrick Schaumont, Doris Ching, Ingrid Verbauwhede An interactive codesign environment for domain-specific coprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware description language, hardware-software codesign, Cosimulation
10Shobha Vasudevan, Jacob A. Abraham, Vinod Viswanath, Jiajin Tu Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mark G. Arnold A RISC Processor with Redundant LNS Instructions. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Alexander Kamkin The UniTESK Approach to Specification-Based Validation of Hardware Designs. Search on Bibsonomy ISoLA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Baofeng Li, Qiang Shao Deeply Parallel Architecture for Lifting-Based 2D DWT in JPEG2000. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hansu Cho, Samar Abdi, Daniel Gajski Design and implementation of transducer for ARM-TMS communication. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ramsey Hourani, Ravi Jenkal, W. Rhett Davis, Winser E. Alexander Automated Architectural Exploration for Signal Processing Algorithms. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Choudhury A. Rahman, Wael M. Badawy An Area Efficient Real-time CAVLC IP-Block towards the H.264/AVC Encoder. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Christian Ferdinand, Florian Martin 0001, Christoph Cullmann, Marc Schlickling, Ingmar Stein, Stephan Thesing, Reinhold Heckmann New Developments in WCET Analysis. Search on Bibsonomy Program Analysis and Compilation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Seung-Man Pyen, Kyeong-Yuk Min, Jong-Wha Chong, Satoshi Goto An Efficient Hardware Architecture for Full-Search Variable Block Size Motion Estimation in H.264/AVC. Search on Bibsonomy ISVC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Carsten Bieser A Novel FPGA Design Acceleration Methodology Supported by a Unique RP Platform for Fast and Easy System Develpoment. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zude Zhou, Songlin Cheng, Quan Liu Application of DDR Controller for High-speed Data Acquisition Board. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Erik Reeber, Warren A. Hunt Jr. A SAT-Based Decision Procedure for the Subclass of Unrollable List Formulas in ACL2 (SULFA). Search on Bibsonomy IJCAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Carsten Bieser, Klaus D. Müller-Glaser Rapid Prototyping Design Acceleration Using a Novel Merging Methodology for Partial Configuration Streams of Xilinx Virtex-II FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hyunseok Lee, Trevor N. Mudge, Chaitali Chakrabarti Reducing idle mode power in software defined radio terminals. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF baseband processor, idle mode, wireless terminal, low power, SIMD, SDR, software defined radio
10Saeid Hashemi, Mohamad Sawan, Yvon Savaria A power planning model for implantable stimulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Charles E. Stroud, Dayu Yang, Foster F. Dai Analog frequency response measurement in mixed-signal systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kuang-Hao Lin, Hsin-Lei Lin, Shih-Ming Wang, Robert Chen-Hao Chang Implementation of digital IQ imbalance compensation in OFDM WLAN receivers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kai-Yuan Jheng, Yi-Chiuan Wang, An-Yeu Wu, Hen-Wai Tsao DSP engine design for LINC wireless transmitter systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Pawel Garstecki, Adam Luczak, Marta Stepniewska A bit-serial implementation of mode decision algorithm for AVC encoders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Po-Tsang Huang, Wei Hwang 2-level FIFO architecture design for switch fabrics in network-on-chip. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kimo Kim, In-Cheol Park Combined image signal processing for CMOS image sensors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10André K. Nieuwland, Samir Jasarevic, Goran Jerin Combinational Logic Soft Error Analysis and Protection. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Neil Smyth, Máire McLoone, John V. McCanny An Adaptable And Scalable Asymmetric Cryptographic Processor. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Xianhui He, Yongxin Zhu 0001, Zhenxin Sun, Yuzhuo Fu UML Based Evaluation of Reconfigurable Shape Adaptive DCT for Embedded Stream Processing. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Donglin Li, Otmane Aït Mohamed MDG-Based Verification of the Look-Aside Interface. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Josh Harr Innovative technologies II - Multi-paradigm computing. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Bruno Girodias, El Mostapha Aboulhamid, Gabriela Nicolescu A Platform for Refinement of OS Services for Embedded Systems. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tathagato Rai Dastidar, Partha Ray A New Device Level Digital Simulator for Simulation and Functional Verification of Large Semiconductor Memories. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Junhao Zheng, Di Wu 0022, Lei Deng 0007, Don Xie, Wen Gao 0001 A Motion Vector Predictor Architecture for AVS and MPEG-2 HDTV Decoder. Search on Bibsonomy PCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Motion vector prediction, MPEG, Motion compensation, VLSI architecture, AVS
10Shiqun Zhang, Dunshan Yu, Shimin Sheng A Discrete STFT Processor for Real-time Spectrum Analysis. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yu-Chien Kao, Huang-Chih Kuo, Yin-Tzu Lin, Chia-Wen Hou, Yi-Hsien Li, Hao-Tin Huang, Youn-Long Lin A High-Performance VLSI Architecture for Intra Prediction and Mode Decision in H.264/AVC Video Encoding. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wonwoo Jang, Hyunsik Kim, Sungmok Lee, Jooyoung Ha, Bongsoon Kang Implementation of the Gamma Line System Similar to Non-linear Gamma Curve with 2bit Error(LSB). Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ajay Kumar Verma, Paolo Ienne Towards the automatic exploration of arithmetic-circuit architectures. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Insu Song, Guido Governatori Designing agent chips. Search on Bibsonomy AAMAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF agent chips, agent architecture, agent programming languages
10Kun-Bin Lee, Jih-Yiing Lin, Chein-Wei Jen A multisymbol context-based arithmetic coding architecture for MPEG-4 shape coding. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jung L. Lee, Myung Hoon Sunwoo Implementation of a Wireless Multimedia DSP Chip for Mobile Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, DSP, instruction
10Fei Xie, Xiaoyu Song, Haera Chung, Ranajoy Nandi Translation-based co-verification. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Miodrag Vujkovic, David Wadkins, Carl Sechen Efficient Post-layout Power-Delay Curve Generation. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ankit Mathur, Mayank Agarwal, Soumyadeb Mitra, Anup Gangwar, M. Balakrishnan, Subhashis Banerjee SMPS: an FPGA-based prototyping environment for multiprocessor embedded systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Kris Tiri, Ingrid Verbauwhede A VLSI Design Flow for Secure Side-Channel Attack Resistant ICs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Stephen A. Edwards The Challenges of Hardware Synthesis from C-Like Languages. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Saranyan A. Vigraham, John C. Gallagher A space saving digital VLSI evolutionary engine for CTRNN-EH devices. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jaehwan John Lee, Vincent John Mooney III A novel O(n) parallel banker's algorithm for System-on-a-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Indradeep Ghosh High Level Test Generation for Custom Hardware: An Industrial Perspective. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Il-Gu Lee, Seungbeom Lee, Sin-Chong Park Effective Co-Verification of IEEE 802.11a MAC/PHY Combining Emulation and Simulation Technology. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Martín Casado, Gregory Watson, Nick McKeown Teaching networking hardware. Search on Bibsonomy ITiCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF network internals, network project, pedagogy
10Mark G. Arnold The Residue Logarithmic Number System: Theory and Implementation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10John D. Lynch, Daniel W. Hammerstrom, Roy Kravitz A Cohesive FPGA-Based System-on-Chip Design Curriculum. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10R. James Duckworth Embedded System Design with FPGAs Using HDLs (Lessons Learned and Pitfalls to Be Avoided). Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Debashis Sahoo, Jawahar Jain, Subramanian K. Iyer, David L. Dill, E. Allen Emerson Predictive Reachability Using a Sample-Based Approach. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Girish Venkataramani, Tiberiu Chelcea, Seth Copen Goldstein, Tobias Bjerregaard SOMA: a tool for synthesizing and optimizing memory accesses in ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level synthesis, memory synthesis
10Mathias Halbach, Rolf Hoffmann Optimal Behavior of a Moving Creature in the Cellular Automata Model. Search on Bibsonomy PaCT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Stephen A. Edwards, Olivier Tardieu SHIM: a deterministic model for heterogeneous embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deterministic model of computation, hardware/software codesign, software synthesis, hardware synthesis
10Youhui Zhang, Liu Dong, Yu Gu 0005, Dongsheng Wang 0002 Exploring Design Space Using Transaction Level Models. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Gyu-Sung Yeon, Chi-Hun Jun, Tae-Jin Hwang, Seongsoo Lee, Jae-Kyung Wee Low-Power MPEG-4 Motion Estimator Design for Deep Sub-Micron Multimedia SoC. Search on Bibsonomy KES (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Young-Su Kwon, Payam Lajevardi, Anantha P. Chandrakasan, Frank Honoré, Donald E. Troxel A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D FPGA, wire resource prediction
10Hyunseok Lee, Trevor N. Mudge A dual-processor solution for the MAC layer of a software defined radio terminal. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SDR terminal, wireless platform, protocol processing
Displaying result #501 - #600 of 754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license