The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Wenping Wang, Shengqi Yang, Yu Cao 0001 Node Criticality Computation for Circuit Timing Analysis and Optimization under NBTI Effect. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Aaron P. Hurst, Alan Mishchenko, Robert K. Brayton Scalable min-register retiming under timing and initializability constraints. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF min-area, retiming, initial state, sequential optimization
19Sanjay V. Kumar, Chandramouli V. Kashyap, Sachin S. Sapatnekar A framework for block-based timing sensitivity analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pruning, variations, reordering, slacks, arrival times
19Vishal Khandelwal, Ankur Srivastava 0001 A Quadratic Modeling-Based Framework for Accurate Statistical Timing Analysis Considering Correlations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19B. Lasbouygues, Robin Wilson, Nadine Azémard, Philippe Maurine Temperature- and Voltage-Aware Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Chao-Yang Yeh, Malgorzata Marek-Sadowska Timing-Aware Power-Noise Reduction in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Murari Mani, Anirudh Devgan, Michael Orshansky, Yaping Zhan A Statistical Algorithm for Power- and Timing-Limited Parametric Yield Optimization of Large Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19José A. Lopez-Salcedo, Gregori Vázquez Waveform-Independent Frame-Timing Acquisition for UWB Signals. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Sinan Gezici, Andreas F. Molisch, H. Vincent Poor, Hisashi Kobayashi The Tradeoff Between Processing Gains of an Impulse Radio UWB System in the Presence of Timing Jitter. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Crineu Tres, Leandro Buss Becker, Edgar Nett Real-Time Tasks Scheduling with Value Control to Predict Timing Faults During Overload. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Songmei Han The Effect of Animation Location and Timing on Visual Search Performance and Memory. Search on Bibsonomy HCI (13) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF signal detection theory, animation, visual search, visual attention, online advertisement
19Tobias Bjerregaard, Mikkel Bystrup Stensgaard, Jens Sparsø A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Feng Wang 0004, Yuan Xie 0001, Hai Ju A novel criticality computation method in statistical timing analysis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Andrew Bortz, Dan Boneh Exposing private information by timing web applications. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF web browser design, privacy, phishing, web application security, web spoofing
19Lei Cheng 0001, Deming Chen, Martin D. F. Wong, Mike Hutton, Jason Govig Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava 0001, Miodrag Potkonjak Statistical timing analysis using Kernel smoothing. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Venkataraman Mahalingam, N. Ranganathan Variation Aware Timing Based Placement Using Fuzzy Programming. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada OPC-Friendly De-Compaction with Timing Constraints for Standard Cell Layouts. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Smruti R. Sarangi, Brian Greskamp, Josep Torrellas A Model for Timing Errors in Processors with Parameter Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Suchismita Roy, P. P. Chakrabarti 0001, Pallab Dasgupta Bounded Delay Timing Analysis Using Boolean Satisfiability. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Bo-Yi Chiang Timing-Constrained Yield-Driven Wiring Reconstruction for Critical Area Minimization. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Anton Wijs Achieving Discrete Relative Timing with Untimed Process Algebra. Search on Bibsonomy ICECCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Anand Ramalingam, Ashish Kumar Singh, Sani R. Nassif, Michael Orshansky, David Z. Pan Accurate Waveform Modeling using Singular Value Decomposition with Applications to Timing Analysis. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Marco Di Natale Virtual Platforms and Timing Analysis: Status, Challenges and Future Directions. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Zhuo Feng, Peng Li 0001, Yaping Zhan Fast Second-Order Statistical Static Timing Analysis Using Parameter Dimension Reduction. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Lerong Cheng, Jinjun Xiong, Lei He 0001 Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jeongho Park, Jihyung Kim 0001, Myonghee Park, Kyunbyoung Ko, Changeon Kang, Daesik Hong Performance analysis of channel estimation for OFDM systems with residual timing offset. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap Fast Interconnect and Gate Timing Analysis for Performance Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jens Vygen Slack in static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Debjit Sinha, Hai Zhou 0001 Statistical Timing Analysis With Coupling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Dionysios Kouroussis, Rubil Ahmadi, Farid N. Najm Voltage-Aware Static Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Liuqing Yang 0001 Timing PPM-UWB signals in ad hoc multiaccess. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Hlaing Minn, Vijay K. Bhargava, Khaled Ben Letaief A combined timing and frequency synchronization and channel estimation for OFDM. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Non-gaussian statistical interconnect timing analysis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Timing-driven cell layout de-compaction for yield optimization by critical area minimization. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Kai Richter The AUTOSAR Timing Model - Status and Challenges -. Search on Bibsonomy ISoLA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Shahin Nazarian, Massoud Pedram, Tao Lin, Emre Tuncer CGTA: current gain-based timing analysis for logic cells. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Fei Hu 0001, Carter May, Xiaojun Cao Data Aggregation in Distributed Sensor Networks: Towards An Adaptive Timing Control. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Debjit Sinha, DiaaEldin Khalil, Yehea I. Ismail, Hai Zhou 0001 A timing dependent power estimation framework considering coupling. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Xiaoji Ye, Peng Li 0001, Frank Liu 0001 Practical variation-aware interconnect delay and slew analysis for statistical timing verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Mi-Kyung Oh, Byunghoo Jung, Dong-Jo Park Low-complexity hop timing synchronization in frequency hopping systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Yen-Hsiang Chen, Chia-Fang Lee, Ming-Ching Huang Multilevel timing-constrained full-chip routing in hierarchical quad-grid model. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Lei Wang 0003, Shuo Wang Adaptive timing for analysis of skew tolerance. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19William R. Roberts, Dimitrios Velenis Effects of process and environmental variations on timing characteristics of clocked registers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Sanghoan Chang, Gwan Choi Timing Failure Analysis of Commercial CPUs Under Operating Stress. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Boris Köpf, David A. Basin Timing-Sensitive Information Flow Analysis for Synchronous Systems. Search on Bibsonomy ESORICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Richard Putman, Rahul Gawde Enhanced Timing-Based Transition Delay Testing for Small Delay Defects. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jayashree Sridharan, Tom Chen 0001 Gate Delay Modeling with Multiple Input Switching for Static (Statistical) Timing Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 Steiner network construction for timing critical nets. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Steiner network, routing, redundancy, interconnect
19Wei-Shen Wang, Vladik Kreinovich, Michael Orshansky Statistical timing based on incomplete probabilistic descriptions of parameter uncertainty. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Kosuke Sekiyama, Katsuhiro Suzuki, Shigeru Fukunaga, Masaaki Date Communication Timing Control based on Coupled-Phase Dynamics Coordination. Search on Bibsonomy AICT/ICIW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Aaron B. Wagner, Venkat Anantharam Zero-rate reliability of the exponential-server timing channel. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Muzhou Shao, Youxin Gao, Li-Pen Yuan, Martin D. F. Wong IR Drop and Ground Bounce Awareness Timing Model. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Yuantao Peng, Xun Liu RITC: Repeater Insertion with Timing Target Compensation. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Kunhyuk Kang, Bipul Chandra Paul, Kaushik Roy 0001 Statistical Timing Analysis using Levelized Covariance Propagation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Masanori Hashimoto, Junji Yamaguchi, Takashi Sato, Hidetoshi Onodera Timing analysis considering temporal supply voltage fluctuation. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Anand Ramalingam, Bin Zhang 0011, Anirudh Devgan, David Z. Pan Sleep transistor sizing using timing criticality and temporal currents. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19James Frye, James Gonzalo King, Christine J. Wilson, Frederick C. Harris Jr. QQ: Nanoscale Timing and Profiling. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Chaojun Dong, Zhiyong Liu, Zulian Qiu Urban Traffic Signal Timing Optimization Based on Multi-layer Chaos Neural Networks Involving Feedback. Search on Bibsonomy ICNC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Cristiano Santos, Daniel Lima Ferrão, Ricardo Reis 0001, José Luís Güntzel Incremental timing optimization for automatic layout generation. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Tzu-Ya Wang, Yu-Cheng Lee Timing-driven Steiner tree construction based on feasible assignment of hidden Steiner points. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Jun Hu, Xiaofeng Yu, Yan Zhang 0007, Tian Zhang 0001, Xuandong Li, Guoliang Zheng Checking Component-Based Embedded Software Designs for Scenario-Based Timing Specifications. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded software designs, real-time systems, model checking, UML sequence diagrams, interface automata
19Zonghua Gu 0001 Timing Analysis of Distributed End-to-End Task Graphs with Model-Checking. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Chung-Kuan Tsai, Malgorzata Marek-Sadowska An Interconnect Insensitive Linear Time-Varying Driver Model for Static Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Pai Peng, Peng Ning, Douglas S. Reeves, Xinyuan Wang 0005 Active Timing-Based Correlation of Perturbed Traffic Flows with Chaff Packets. Search on Bibsonomy ICDCS Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Rensheng Wang, Hongbin Li 0001, Tao Li 0016 Code-timing estimation for CDMA systems with bandlimited chip waveforms. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Qinghua Liu, Bo Hu 0006, Malgorzata Marek-Sadowska Individual wire-length prediction with application to timing-driven placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent waveform propagation for static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim A decoupling technique for efficient timing analysis of VLSI interconnects with dynamic circuit switching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Bogdan Tutuianu, Ross Baldick, Mark S. Johnstone Nonlinear driver models for timing and noise analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Taisuke Izumi, Akinori Saitoh, Toshimitsu Masuzawa Timed Uniform Consensus Resilient to Crash and Timing Faults. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ko Yoshikawa, Yasuhiko Hagihara, Keisuke Kanamaru, Yuichi Nakamura 0002, Shigeto Inui, Takeshi Yoshimura Timing optimization by replacing flip-flops to latches. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Luca Formaggio, Franco Fummi, Graziano Pravadelli A timing-accurate HW/SW co-simulation of an ISS with SystemC. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF co-simulation, system level modeling
19Jos C. M. Baeten, Michel A. Reniers Timed Process Algebra (With a Focus on Explicit Termination and Relative-Timing). Search on Bibsonomy SFM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chunyan Yu, Wu Haihong, Minghui Wu 0001 A complete model for collaborative virtual environment integrating extended fuzzy-timing Petri nets with role and agent technology. Search on Bibsonomy SMC (6) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Olivier Omedes, Michel Robert, Mohammed Ramdani A flexibility aware budgeting for hierarchical flow timing closure. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Qinke Wang An analytic placer for mixed-size placement and timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Debjit Sinha, Hai Zhou 0001 Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chirayu S. Amin, Florentin Dartu, Yehea I. Ismail Modeling unbuffered latches for timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Kwang Ho Chun, Myoung Seob Lim Novel Symbol Timing Recovery Algorithm for Multi-level Signal. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jau-Yang Chang, Hsing-Lung Chen A Timing-Based Bandwidth Allocation Protocol for QoS Provisioning in Multimedia Wireless Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sascha Konrad, Laura A. Campbell, Betty H. C. Cheng Automated Analysis of Timing Information in UML Diagrams. Search on Bibsonomy ASE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Shahdad Irajpour, Sandeep K. Gupta 0001, Melvin A. Breuer Timing-Independent Testing of Crosstalk in the Presence of Delay Producing Defects Using Surrogate Fault Models. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mohamed M. Hafed, Antonio H. Chan, Geoffrey D. Duerden, Bardia Pishdad, Clarence Tam, Sébastien Laberge, Gordon W. Roberts A High-Throughput 5 GBps Timing and Jitter Test Module Featuring Localized Processing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Richard Goldman, Kurt Keutzer, Clive Bittlestone, Ahsan Bootehsaz, Shekhar Y. Borkar, E. Chen, Louis Scheffer, Chandramouli Visweswariah Is statistical timing statistically significant? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Seokjin Lee, Martin D. F. Wong Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Ian J. Hayes Programs as Paths: An Approach to Timing Constraint Analysis. Search on Bibsonomy ICFEM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Damian Dalton, Vivian Bessler, Jeffrey Griffiths, Andrew McCarthy, Abhay Vadher, Rory O'Kane, Rob Quigley, Declan O'Connor APPLES: A Full Gate-Timing FPGA-Based Hardware Simulator. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Peter P. Puschner, Raimund Kirner Avoiding Timing Problems in Real-Time Software. Search on Bibsonomy WSTFES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jason Cong, Michail Romesis, Min Xie 0004 Optimality and Stability Study of Timing-Driven Placement Algorithms. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Dazhi Sun, Rahim F. Benekohal, S. Travis Waller Multi-objective Traffic Signal Timing Optimization Using Non-dominated Sorting Genetic Algorithm II. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Konstantinos Doris, Arthur H. M. van Roermund, Domine Leenaerts Mismatch-based timing errors in current steering DACs. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins, José E. Franca Timing-mismatch analysis in high-speed analog front-end with nonuniformly holding output. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou Diagnosis of Delay Defects Using Statistical Timing Models. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jeremy A. Rowlette, Travis M. Eiles Critical Timing Analysis in Microprocessors Using Near-IR Laser Assisted Device Alteration (LADA). Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Li-C. Wang, Angela Krstic, Leonard Lee, Kwang-Ting Cheng, M. Ray Mercer, Thomas W. Williams, Magdy S. Abadir Using Logic Models To Predict The Detection Behavior Of Statistical Timing Defects. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Emrah Acar, Florentin Dartu, Lawrence T. Pileggi TETA: transistor-level waveform evaluation for timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Werner M. Kistler Spike-timing dependent synaptic plasticity: a phenomenological framework. Search on Bibsonomy Biol. Cybern. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Bernard N. Sheehan Library Compatible Ceff for Gate-Level Timing. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Metehan Özcan, Masashi Imai, Takashi Nanya Generation and Verification of Timing Constraints for Fine-Grain Pipelined Asynchronous Data-Path Circuits. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license