The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ILP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (38) 1995 (28) 1996 (51) 1997 (74) 1998 (73) 1999 (82) 2000 (105) 2001 (81) 2002 (101) 2003 (113) 2004 (139) 2005 (159) 2006 (174) 2007 (196) 2008 (158) 2009 (114) 2010 (66) 2011 (75) 2012 (61) 2013 (46) 2014 (39) 2015 (51) 2016 (63) 2017 (58) 2018 (64) 2019 (36) 2020 (23) 2021 (32) 2022 (41) 2023 (33) 2024 (6)
Publication types (Num. hits)
article(444) book(1) incollection(8) inproceedings(1878) phdthesis(11) proceedings(38)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1414 occurrences of 781 keywords

Results
Found 2380 publication records. Showing 2380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Akihiro Yamamoto Inductive Logic Programming: Yet Another Application of Logic. Search on Bibsonomy INAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data compression, chip multiprocessors, optimizing compiler
22Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, Davide Patti Hyperblock formation: a power/energy perspective for high performance VLIW architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Esther Salamí, Mateo Valero A Vector-µSIMD-VLIW Architecture for Multimedia Applications. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Mohamed A. Gomaa, T. N. Vijaykumar Opportunistic Transient-Fault Detection. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Stanley Kok, Pedro M. Domingos Learning the structure of Markov logic networks. Search on Bibsonomy ICML The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jérôme Maloberti, Michèle Sebag Fast Theta-Subsumption with Constraint Satisfaction Algorithms. Search on Bibsonomy Mach. Learn. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF k-locality, constraint satisfaction, phase transition, relational learning, meta-learning
22R. S. Milton, V. Uma Maheswari 0002, Arul Siromoney Rough Sets and Relational Learning. Search on Bibsonomy Trans. Rough Sets The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Krishnan Srinivasan, Nagender Telkar, Vijay Ramamurthi, Karam S. Chatha System-Level Design Techniques for Throughput and Power Optimization of Multiprocessor SoC Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jing Li 0002, Tao Jiang 0001 An exact solution for finding minimum recombinant haplotype configurations on pedigrees with missing data by integer linear programming. Search on Bibsonomy RECOMB The full citation details ... 2004 DBLP  DOI  BibTeX  RDF missing data imputation, pedigree analysis, integer linear programming, branch-and-bound algorithm, recombination, haplotyping
22Huibin Shi, Chris Bailey 0002 Investigating Available Instruction Level Parallelism for Stack Based Machine Architectures. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ruiming Li, Dian Zhou, Donglei Du Satisfiability and integer programming as complementary tools. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman Scaling the issue window with look-ahead latency prediction. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LHT, MNM, SILO, instruction sorting, CLP
22Nagarajan Kandasamy, Dávid Hanák, Christopher P. van Buskirk, Himanshu Neema, Gabor Karsai Synthesis of robust task schedules for minimum disruption repair. Search on Bibsonomy SMC (6) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ajay Kumar Todimala, Byrav Ramamurthy Survivable Virtual Topology Routing under Shared Risk Link Groups in WDM Networks. Search on Bibsonomy BROADNETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Arathi Ramani, Igor L. Markov Automatically Exploiting Symmetries in Constraint Programming. Search on Bibsonomy CSCLP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22David P. Enot, Ross D. King Application of Inductive Logic Programming to Structure-Based Drug Design. Search on Bibsonomy PKDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Lei Chen 0021, Steve Dropsho, David H. Albonesi Dynamic Data Dependence Tracking and its Application to Branch Prediction. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ratthachat Chatpatanasiri, Boonserm Kijsirikul Learning First-Order Bayesian Networks. Search on Bibsonomy AI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF First-Order Bayesian Networks, Overfitting Problem, Propositionalisation, Feature Extraction, Inductive Logic Programming
22Pen-Chung Yew Is There Exploitable Thread-Level Parallelism in General-Purpose Application Programs? Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Lal George, Matthias Blume Taming the IXP network processor. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Intel IXA, bank assignment, programming languages, code generation, register allocation, integer linear programming, network processors
22Pradeep Rao, S. K. Nandy 0001, M. N. V. Satya Kiran Simultaneous MultiStreaming for Complexity-Effective VLIW Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Miquel Pericàs, Eduard Ayguadé, Javier Zalamea, Josep Llosa, Mateo Valero Power-Performance Trade-Offs in Wide and Clustered VLIW Cores for Numerical Codes. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Cholwich Nattee, Sukree Sinthupinyo, Masayuki Numao, Takashi Okada Mining Chemical Compound Structure Data Using Inductive Logic Programming. Search on Bibsonomy Active Mining The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Yu Chen 0005, Puneet Gupta 0001, Andrew B. Kahng Performance-impact limited area fill synthesis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, coupling capacitance extraction, dummy fill problem, signal delay, linear programming, greedy method
22Yi Qian, Steve Carr 0001, Philip H. Sweany Optimizing Loop Performance for Clustered VLIW Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Sam Rash, Dan Gusfield String barcoding: uncovering optimal virus signatures. Search on Bibsonomy RECOMB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF string barcoding, virus signatures, suffix trees, barcoding, testing set
22Gayathri Krishnamurthy, Elana D. Granston, Eric Stotzer Affinity-based cluster assignment for unrolled loops. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF affinity-based clustering (ABC) algorithms, homogeneous clusters, partitioned register files, software pipelining, loop optimizations, loop scheduling, VLIW architectures, loop unrolling, cluster assignment
22Anupam Datta, Sidharth Choudhury, Anupam Basu Using Randomized Rounding to Satisfy Timing Constraints of Real-Time Preemptive Tasks. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Krishnendu Chakrabarty Optimal test access architectures for system-on-a-chip. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Kenji Watanabe, Wanming Chu, Yamin Li Exploiting Java Instruction/Thread Level Parallelism with Horizontal Multithreading. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng Estimation for maximum instantaneous current through supply lines for CMOS circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Daniel Kästner PROPAN: A Retargetable System for Postpass Optimisations and Analyses. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Yu-Chung Lin, Su-Feng Tseng, Tsai-Ming Hsieh Cost minimization of partitioned circuits with complex resource constraints in FPGAs (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Krishnendu Chakrabarty Design of System-on-a-Chip Test Access Architectures using Integer Linear Programming. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test data bandwidth, linearization, test access mechanism (TAM), testing time, Embedded core testing, test bus
22Valentin E. Brimkov, Stefan S. Dantchev On the Complexity of Integer Programming in the Blum-Shub-Smale Computational Model. Search on Bibsonomy IFIP TCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Integer programming, Knapsack problem, Algebraic complexity, Complexity bounds
22Fumio Mizoguchi Anomaly Detection Using Visualization and Machine Learning. Search on Bibsonomy WETICE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Krishnendu Chakrabarty Design of system-on-a-chip test access architectures under place-and-route and power constraints. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Saso Dzeroski, James Cussens, Suresh Manandhar An Introduction to Inductive Logic Programming and Learning Language in Logic. Search on Bibsonomy Learning Language in Logic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Hayato Ohwada, Fumio Mizoguchi Parallel Execution for Speeding Up Inductive Logic Programming Systems. Search on Bibsonomy Discovery Science The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Vijay S. Pai, Sarita V. Adve Code Transformations to Improve Memory Parallelism. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22David I. August, John W. Sias, Jean-Michel Puiatti, Scott A. Mahlke, Daniel A. Connors, Kevin M. Crozier, Wen-mei W. Hwu The Program Decision Logic Approach to Predicated Execution. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Yi-Min Jiang, Kwang-Ting Cheng Exact and Approximate Estimation for Maximum Instantaneous Current of CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Hayato Ohwada, Makiko Daidoji, Shiroaki Shirato, Fumio Mizoguchi Learning First-Order Rules from Image Applied to Glaucoma Diagnosis. Search on Bibsonomy PRICAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Soo-Mook Moon, Kemal Ebcioglu Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF global instruction scheduling, speculative code motion, instruction-level parallelism, software pipelining, VLIW, superscalar
22Soohong P. Kim, Raymond Hoare, Henry G. Dietz VLIW Across Multiple Superscalar Processors on a Single Chip. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Wim Van Laer, Luc De Raedt, Saso Dzeroski On Multi-class Problems and Discretization in Inductive Logic Programming. Search on Bibsonomy ISMIS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Classification, Learning, Knowledge Discovery, Discretization, Inductive Logic Programming
22Avaneendra Gupta, John P. Hayes Width minimization of two-dimensional CMOS cells using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF leaf cell synthesis, two-dimensional layout, diffusion sharing, transistor chains, CMOS networks, Layout optimization, module generation
22Arun Balakrishnan, Srimat T. Chakradhar Retiming with logic duplication transformation: theory and an application to partial scan. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF logic duplication transformation, partial scan application, RLD configurations, RLD transformation, testability metrics, scan flip-flops, sequential circuit design, linear programming, timing, integer programming, logic design, sequential circuits, logic CAD, polynomial time algorithm, integer linear program, flip-flops, retiming, integrated circuit design, circuit CAD, integrated logic circuits, objective function
22Siamak Arya, Howard Sachs, Sreeram Duvvuru An architecture for high instruction level parallelism. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution
22Adrian Slowik, Georg Piepenbrock, Peter Pfahler Compiling Nested Loops for Limited Connectivity VLIWs. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Irene Stahl Properties of Inductive Logic Programming in Function-Free Horn Logic. Search on Bibsonomy ECML The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Saso Dzeroski, Stephen H. Muggleton, Stuart Russell 0001 Learnability of Constrained Logic Programs. Search on Bibsonomy ECML The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Manoj Gupta 0001, Fermín Sánchez, Josep Llosa CSMT: Simultaneous Multithreading for Clustered VLIW Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, ILP, simultaneous multithreading, multithreaded processors, VLIW architectures
17Michele Lombardi 0001, Luca Benini, Abhishek Garg, Giovanni De Micheli Methods for Designing Reliable Probe Arrays. Search on Bibsonomy BIBE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Probe based sensors, Optimization, Microarrays, SAT, ILP
17Tim De Pauw, Stijn Verstichel, Bruno Volckaert, Filip De Turck, Veerle Ongenae Resource-Aware Scheduling of Distributed Ontological Reasoning Tasks in Wireless Sensor Networks. Search on Bibsonomy SUTC/UMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, scheduling, ontology, heuristic, reasoning, ILP
17Siddhesh S. Mhambrey, Lawrence T. Clark, Satendra Kumar Maurya, Krzysztof S. Berezowski Out-of-order issue logic using sorting networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF out-of-order processing, ILP, micro-architecture, issue queue, high speed circuits
17Yixin Shou, Robert A. van Engelen Automatic SIMD vectorization of chains of recurrences. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chains of recurrences, short vector simd, vectorization, ILP
17Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable Performance in SMT Processors: Synergy between the OS and SMTs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real time, operating systems, performance predictability, ILP, thread-level parallelism, simultaneous multithreading, Multithreaded processors
17Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Architectural support for real-time task scheduling in SMT processors. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, real time, multithreading, performance predictability, ILP, thread-level parallelism, SMT
17Stamatis Vassiliadis, Leonel Sousa, Georgi Gaydadjiev The Midlifekicker Microarchitecture Evaluation Metric. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF pipeline, microarchitecture, ILP
17Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Removing communications in clustered microarchitectures through instruction replication. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction replication, statically scheduled processors, ILP, modulo-scheduling, Clustered microarchitectures
17Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable performance in SMT processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real time, operating systems, multithreading, performance predictability, ILP, thread-level parallelism, SMT
17Hillery C. Hunter, Jaime H. Moreno A new look at exploiting data parallelism in embedded systems. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sub-word parallelism, architecture, embedded, DSP, telecommunications, SIMD, VLIW, processor, ILP, media, DLP, data-level parallelism
17Young Chul Sohn, N. H. Jung, Seung Ryoul Maeng Request Reordering to Enhance the Performance of Strict Consistency Models. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiprocessor, ILP, memory consistency model
17Sunghyun Jee, Kannappan Palaniappan Dynamically Scheduling VLIW Instructions with Dependency Information. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DISVLIW, VLIW, Dynamic Scheduling, Processor Architecture, ILP
17Sunghyun Jee, Kannappan Palaniappan Compiler Processor Tradeoffs for DISVLIW Architecture. Search on Bibsonomy ISPAN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Balanced Scheduling, DISVLIW, Processor architecture, ILP
17Ramesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam, Juan Rubio 0001, Jyotsna Sabarinathan Java Runtime Systems: Characterization and Architectural Implications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CPU and cache architectures, Java, performance evaluation, benchmarking, ILP, Java bytecodes
17M. Balakrishnan, Heman Khanna Allocation of FIFO structures in RTL data paths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synthesis, RTL, ILP, FIFO, data path
17Kang Su Gatlin, Larry Carter Faster FFTs via Architecture-Cognizance. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache, feedback, memory hierarchy, compiler optimization, associativity, divide-and-conquer, ILP, runtime systems, registers, TLB
17Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
17Emre Özer 0001, Sumedh W. Sathaye, Kishore N. Menezes, Sanjeev Banerjia, Matthew D. Jennings, Thomas M. Conte A Fast Interrupt Handling Scheme for VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Interrupt, VLIW, Embedded Processors, ILP, Superscalar, Out-of-order Issue
17Erik Nystrom, Alexandre E. Eichenberger Effective Cluster Assignment for Modulo Scheduling. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ILP, modulo scheduling, cluster architecture, cluster assignment
17Keiko Shimazu, Koichi Furukawa Knowledge discovery in database by Progol-design, implementation and its application to expert system building. Search on Bibsonomy SAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF KDD, ILP, datamining, ER model, E-mail-classification
17David Raymond Lutz, Doddaballapur Narasimha-Murthy Jayasimha Early Zero Detection. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF half-adder form, branch penalties, branch resolution, zero detection, speculative execution, ILP
17Soo-Mook Moon, Kemal Ebcioglu A study on the number of memory ports in multiple instruction issue machines. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF memory ports, speculative loads, ILP, static scheduling, memory disambiguation
16Asma Kahoul, George A. Constantinides, Alastair M. Smith, Peter Y. K. Cheung Heterogeneous Architecture Exploration: Analysis vs. Parameter Sweep. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable architectures, Floorplanning, integer linear programming (ILP)
16C. Li, J. M. van den Akker, Sjaak Brinkkemper, Guido Diepen Integrated Requirement Selection and Scheduling for the Release Planning of a Software Product. Search on Bibsonomy REFSQ The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Requirement Selection, Requirement Scheduling, Simulation, Release Planning, Integer Linear Programming (ILP)
16Fakhreddine Ghaffari, Michel Auguin, Mohamed Abid, Maher Benjemaa An Adaptive On-Line HW/SW Partitioning for Soft Real Time Reconfigurable Systems. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ILP formulation, Dynamic Scheduling, real time constraints, HW/SW Partitioning
16Jeremy R. Johnson, Werner Krandick, Anatole D. Ruslanov Architecture-aware classical Taylor shift by 1. Search on Bibsonomy ISSAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ILP scheduling, Taylor shift, delayed carry propagation, multiprecision arithmetic, register tiling, high-performance computing, code generation, memory hierarchy, polynomials, performance tuning, loop unrolling
16Andrea Lodi 0001, Silvano Martello, Daniele Vigo Models and Bounds for Two-Dimensional Level Packing Problems. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ILP models, packing, cutting
16Hui Zang, Canhui Ou, Biswanath Mukherjee Path-protection routing and wavelength assignment (RWA) in WDM mesh networks under duct-layer constraints. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optical network, protection, wavelength-division multiplexing (WDM), lightpath, wavelength routing, integer linear program (ILP), shared risk link group
16Octavian Cret, Kalman Pusztai, Cristian Vancea, Balint Szente CREC: A Novel Reconfigurable Computing Design Methodology. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF general-purpose reconfigurable systems, Hardware / Software CoDesign, multiple execution units, FPGA, VHDL, RISC, Instruction Level Parallelism (ILP)
16Donald Chai, Andreas Kuehlmann A fast pseudo-boolean constraint solver. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 0-1 ILP, pseudo-boolean, satisfiability
16Aneesh Aggarwal, Manoj Franklin Hierarchical Interconnects for On-Chip Clustering. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-chip clustering, instruction distribution algo-rithms, Scalability, on-chip interconnect, Instruction-level parallelism (ILP)
16Waleed Meleis, Alexandre E. Eichenberger, Ivan D. Baev Scheduling Superblocks with Bound-Based Branch Trade-Offs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ILP compiler technique, lower bound, scheduling heuristic, Superblock
16Simonjit Dutta, Manoj Franklin Control Flow Prediction Schemes for Wide-Issue Superscalar Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Block-level prediction, multiple-issue processors, multiple-branch prediction, tree-level prediction, speculative execution, trace cache, instruction-level parallelism (ILP)
16David López 0001, Josep Llosa, Eduard Ayguadé, Mateo Valero Impact on Performance of Fused Multiply-Add Units in Aggressive VLIW Architectures. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ILP limits, multiply-add fused, performance/cost evaluation, software pipelining, VLIW architectures, numerical code
16Kai Wang, Manoj Franklin Highly Accurate Data Value Prediction Using Hybrid Predictors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Instruction-level parallel (ILP) processing Speculative execution, Stride-based prediction, Two-level prediction, Data speculation
16Krishna K. Sundararaman, Manoj Franklin Multiscalar Execution along a Single Flow of Control. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiple control flows, branch prediction, control dependence, instruction-level parallelism (ILP)
16Richard E. Hank, Wen-mei W. Hwu, B. Ramakrishna Rau Region-based compilation: an introduction and motivation. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ILP compilation, code expansion, compilation time complexity, function inlining, region-based compilation
16Nicolas Lachiche, Christel Vrain (eds.) Late Breaking Papers of the 27th International Conference on Inductive Logic Programming, Orléans, France, September 4-6, 2017. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2018 DBLP  BibTeX  RDF
16Nunung Nurul Qomariyah, Dimitar Kazakov Learning from Ordinal Data with Inductive Logic Programming in Description Logic. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16Yulong Gu, Paolo Missier Adaptive Incremental Learning for Statistical Relational Models Using Gradient-Based Boosting. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16Jáchym Barvínek, Filip Zelezný A First-Order Axiomatization for Transition Learning with Rich Constraints. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16Ahmed Samet, Thomas Guyet, Benjamin Négrevergne Mining Rare Sequential Patterns with ASP. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16James Cussens, Alessandra Russo (eds.) Proceedings of the 26th International Conference on Inductive Logic Programming (Short papers), London, UK, 2016. Search on Bibsonomy ILP (Short Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16Tomoyuki Uchida, Satoshi Matsumoto, Takayoshi Shoudai, Yusuke Suzuki, Tetsuhiro Miyahara Learning of Primitive Formal Systems Defining Labelled Ordered Tree Languages via Queries. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16Yin Jun Phua, Tony Ribeiro, Sophie Tourret, Katsumi Inoue Learning Logic Program Representation for Delayed Systems With Limited Training Data. Search on Bibsonomy ILP (Late Breaking Papers) The full citation details ... 2017 DBLP  BibTeX  RDF
16Hendrik Blockeel, Svetlana Valevich A Simple Framework for Theta-Subsumption Testing in Prolog. Search on Bibsonomy ILP (Short Papers) The full citation details ... 2016 DBLP  BibTeX  RDF
Displaying result #901 - #1000 of 2380 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license