The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Pedro Benedicte, Jaume Abella 0001, Carles Hernández 0001, Enrico Mezzetti, Francisco J. Cazorla Towards limiting the impact of timing anomalies in complex real-time processors. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chuhan Min, Jiachen Mao, Hai Li 0001, Yiran Chen 0001 NeuralHMC: an efficient HMC-based accelerator for deep neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cunxi Yu, Tiankai Su, Atif Yasin, Maciej J. Ciesielski Spectral approach to verifying non-linear arithmetic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1S. Rasoul Faraji, Kia Bazargan Hybrid binary-unary hardware accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bing Li 0017, Bonan Yan, Chenchen Liu, Hai (Helen) Li Build reliable and efficient neuromorphic design with memristor technology. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sheng Xu, Xiaoming Chen 0003, Ying Wang 0001, Yinhe Han 0001, Xiaowei Li 0001 CuckooPIM: an efficient and less-blocking coherence mechanism for processing-in-memory systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mason Chern, Shih-Wei Lee, Shi-Yu Huang, Yu Huang 0005, Gaurav Veda, Kun-Han Hans Tsai, Wu-Tung Cheng Improving scan chain diagnostic accuracy using multi-stage artificial neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hsuan-Hsuan Hsiao, Hong-Wen Chiou, Yu-Min Lee Multi-angle bended heat pipe design using x-architecture routing with dynamic thermal weight on mobile devices. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pu Zhao 0001, Kaidi Xu, Sijia Liu 0001, Yanzhi Wang, Xue Lin ADMM attack: an enhanced adversarial attack for deep neural networks with undetectable distortions. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shih-Yu Chen, Jie-Hong R. Jiang, Shou-Hung Welkin Ling, Shih-Hao Liang, Mao-Cheng Huang An approximation algorithm to the optimal switch control of reconfigurable battery packs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wen Li, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 P3M: a PIM-based neural network model protection scheme for deep learning accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xianwei Zhang, Rujia Wang, Youtao Zhang, Jun Yang 0002 Boosting chipkill capability under retention-error induced reliability emergency. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gengjie Chen, Chak-Wa Pui, Haocheng Li, Jingsong Chen, Bentian Jiang, Evangeline F. Y. Young Detailed routing by sparse grid graph and minimum-area-captured path search. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyeon Uk Sim, Jason Helge Anderson, Jongeun Lee XOMA: exclusive on-chip memory architecture for energy-efficient deep learning acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhuwei Qin, Fuxun Yu, Chenchen Liu, Xiang Chen 0010 CAPTOR: a class adaptive filter pruning framework for convolutional neural networks in mobile applications. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xingquan Li, Bei Yu 0001, Jianli Chen, Wenxing Zhu A local optimal method on DSA guiding template assignment with redundant/dummy via insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ryosuke Matsuo, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera, Akihiko Shinya, Masaya Notomi BDD-based synthesis of optical logic circuits exploiting wavelength division multiplexing. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuanqi Shen, You Li, Amin Rezaei 0001, Shuyu Kong, David Dlott, Hai Zhou 0001 BeSAT: behavioral SAT-based attack on cyclic logic encryption. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bo-Yi Yu, Yong Zhong, Shao-Yun Fang, Hung-Fei Kuo Deep learning-based framework for comprehensive mask optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tung-Che Liang, Yun-Sheng Chan, Tsung-Yi Ho, Krishnendu Chakrabarty, Chen-Yi Lee Sample preparation for multiple-reactant bioassays on micro-electrode-dot-array biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Guohao Dai, Tianhao Huang, Yu Wang 0002, Huazhong Yang, John Wawrzynek GraphSAR: a sparsity-aware processing-in-memory architecture for large-scale graph processing on ReRAMs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dawen Xu 0002, Li Li, Ying Wang 0001, Cheng Liu 0008, Huawei Li 0001 Exploring emerging CNFET for efficient last level cache design. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hong-Wen Chiou, Yu-Min Lee, Shin-Yu Shiau, Chi-Wen Pan, Tai-Yu Chen Phone-nomenon: a system-level thermal simulator for handheld devices. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alwin Zulehner, Michael P. Frank, Robert Wille Design automation for adiabatic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Daewoo Kim, Sugil Lee, Jongeun Lee On-chip memory optimization for high-level synthesis of multi-dimensional data on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yinghui Fan, Xiaoxi Wu, Jiying Dong, Zhi Qi AxDNN: towards the cross-layer design of approximate DNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyungjun Kim, Yulhwa Kim, Jae-Joon Kim In-memory batch-normalization for resistive memory based binary neural network hardware. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Atsuki Kobayashi, Yuya Nishio, Kenya Hayashi, Shigeki Arata, Kiichi Niitsu Design of gate-leakage-based timer using an amplifier-less replica-bias switching technique in 55-nm DDC CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Taiki Nakanishi, Maya Matsunaga, Shunya Murakami, Atsuki Kobayashi, Kiichi Niitsu A 65-nm CMOS fully-integrated circulating tumor cell and exosome analyzer using an on-chip vector network analyzer and a transmission-line-based detection window. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shaahin Angizi, Zhezhi He, Deliang Fan ParaPIM: a parallel processing-in-memory accelerator for binary-weight deep neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mengyun Liu, Lixue Xia, Yu Wang 0002, Krishnendu Chakrabarty Fault tolerance in neuromorphic computing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Sahand Salamat, Saransh Gupta, Jiani Huang, Tajana Rosing FACH: FPGA-based acceleration of hyperdimensional computing by reducing computational complexity. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mateus Fogaça, Andrew B. Kahng, Ricardo Reis 0001, Lutong Wang Finding placement-relevant clusters with fast modularity-based clustering. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jiajun Li, Ying Wang 0001, Bosheng Liu, Yinhe Han 0001, Xiaowei Li 0001 Simulate-the-hardware: training accurate binarized neural networks for low-precision neural accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler Maximizing power state cross coverage in firmware-based power management. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wei Ye 0008, Yibo Lin, Meng Li 0004, Qiang Liu 0001, David Z. Pan LithoROC: lithography hotspot detection with explicit ROC optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gereon Onnebrink, Ahmed Hallawa, Rainer Leupers, Gerd Ascheid, Awaid-Ud-Din Shaheen A heuristic for multi objective software application mappings on heterogeneous MPSoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jinshan Yue, Yongpan Liu, Fang Su, Shuangchen Li, Zhe Yuan, Zhibo Wang 0004, Wenyu Sun, Xueqing Li, Huazhong Yang AERIS: area/energy-efficient 1T2R ReRAM based processing-in-memory neural network system-on-a-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nimesh Shah, Manaar Alam, Durga Prasad Sahoo, Debdeep Mukhopadhyay, Arindam Basu A 0.16pJ/bit recurrent neural network based PUF for enhanced machine learning attack resistance. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kiichi Niitsu, Yuuki Yamaji, Atsuki Kobayashi, Kazuo Nakazato A low-voltage CMOS electrophoresis IC using electroless gold plating for small-form-factor biomolecule manipulation. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wenbin Xu, Lang Feng, Jeyavijayan Rajendran, Jiang Hu Layout recognition attacks on split manufacturing. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ashkan Vakil, Houman Homayoun, Avesta Sasan IR-ATA: IR annotated timing analysis, a flow for closing the loop between PDN design, IR analysis & timing closure. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zheng Zhao 0003, Derong Liu 0002, Meng Li 0004, Zhoufeng Ying, Lu Zhang, Biying Xu, Bei Yu 0001, Ray T. Chen, David Z. Pan Hardware-software co-design of slimmed optical neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sun ik Heo, Andrew B. Kahng, Minsoo Kim, Lutong Wang Diffusion break-aware leakage power optimization and detailed placement in sub-10nm VLSI. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yi Cao, Andrew B. Kahng, Joseph Li, Abinash Roy, Vaishnav Srinivas, Bangqi Xu Learning-based prediction of package power delivery network quality. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Neil Veira, Zissis Poulos, Andreas G. Veneris Suspect2vec: a suspect prediction model for directed RTL debugging. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bruno de O. Schmitt, Alan Mishchenko, Robert K. Brayton SAT-based area recovery in structural technology mapping. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yuanjing Shi, Zhaoyan Shen, Zili Shao SQLiteKV: An efficient LSM-tree-based SQLite-like database engine for mobile devices. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Byung-Su Kim, Joon-Sung Yang System level performance analysis and optimization for the adaptive clocking based multi-core processor. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dongin Kim, SeongHwan Cho A supply noise insensitive PLL with a rail-to-rail swing ring oscillator and a wideband noise suppression loop. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Leilai Shao, Tsung-Ching Huang, Ting Lei, Zhenan Bao, Raymond G. Beausoleil, Kwang-Ting Cheng Process design kit for flexible hybrid electronics. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Necati Uysal, Rickard Ewetz OCV guided clock tree topology reconstruction. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Matthias Becker 0004, Saad Mubeen, Dakshina Dasari, Moris Behnam, Thomas Nolte Scheduling multi-rate real-time applications on clustered many-core architectures with memory constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Atul Prasad Deb Nath, Sandip Ray, Abhishek Basak, Swamp Bhunia System-on-chip security architecture and CAD framework for hardware patch. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pu Zhao 0001, Yanzhi Wang, Naehyuck Chang, Qi Zhu 0002, Xue Lin A deep reinforcement learning framework for optimizing fuel economy of hybrid electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yongsun Lee, Taeho Seong, Seyeon Yoo, Jaehyouk Choi A switched-loop-filter PLL with fast phase-error correction technique. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hossein Sayadi, Divya Pathak, Ioannis Savidis, Houman Homayoun Power conversion efficiency-aware mapping of multithreaded applications on heterogeneous architectures: A comprehensive parameter tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fan Chen 0001, Zheng Li, Wang Kang 0001, Weisheng Zhao, Hai Li 0001, Yiran Chen 0001 Process variation aware data management for magnetic skyrmions racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vikkitharan Gnanasambandapillai, Arash Bayat, Sri Parameswaran MESGA: An MPSoC based embedded system solution for short read genome alignment. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sunmean Kim, Taeho Lim, Seokhyeong Kang An optimal gate design for the synthesis of ternary logic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Meng Li 0004, Bei Yu 0001, Yibo Lin, Xiaoqing Xu, Wuxi Li, David Z. Pan A practical split manufacturing framework for Trojan prevention via simultaneous wire lifting and cell insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fan Chen 0001, Linghao Song, Yiran Chen 0001 ReGAN: A pipelined ReRAM-based accelerator for generative adversarial networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Rui Wu 0008, M. Ashkan Seyedi, Yuyang Wang 0003, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng Pairing of microring-based silicon photonic transceivers for tuning power optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen Performance-preserved analog routing methodology via wire load reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng New directions for learning-based IC design tools and methodologies. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xizi Chen, Jingbo Jiang, Jingyang Zhu, Chi-Ying Tsui A high-throughput and energy-efficient RRAM-based convolutional neural network using data encoding and dynamic quantization. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alwin Zulehner, Robert Wille Exploiting coding techniques for logic synthesis of reversible circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kyeong-min Park, Joohyeb Song, Franklin Bien Highly sensitive fingerprint readout IC for glass-covered mutual capacitive fingerprint sensor. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Junmin Jiang, Wing-Hung Ki, Yan Lu 0002 A digital SC converter with high efficiency and low voltage ripple. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Youngwoo Ji, Cheonhoo Jeon, Hyunwoo Son, Byungsub Kim, Hong-June Park, Jae-Yoon Sim A 9.3 nW all-in-one bandgap voltage and current reference circuit using leakage-based PTAT generation and DIBL characteristic. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1David M. Moore, Jeffrey A. Fredenburgh, Muhammad Faisal, David D. Wentzloff Static timing analysis for ring oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wenxuan Wang, Aijiao Cui, Gang Qu 0001, Huawei Li 0001 A low-overhead PUF based on parallel scan design. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chuan Yean Tan, Rickard Ewetz, Cheng-Kok Koh Clustering of flip-flops for useful-skew clock tree synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhongyuan Tian, Zhe Wang 0003, Haoran Li 0002, Peng Yang 0003, Rafael Kioji Vivas Maeda, Jiang Xu 0001 Multi-device collaborative management through knowledge sharing. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Canran Jin, Heming Sun, Shinji Kimura Sparse ternary connect: Convolutional neural networks using ternarized weights with enhanced sparsity. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Christian Pilato, Luca P. Carloni DarkMem: Fine-grained power management of local memories for accelerators in embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jeff Burns Keynote I: "Designing heterogeneous systems in the AI era: Challenges and opportunities". Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tian Wang, Xiaoxin Cui, Dunshan Yu, Omid Aramoon, Timothy Dunlap, Gang Qu 0001, Xiaole Cui Polymorphic gate based IC watermarking techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Barend Harris, Mansureh S. Moghaddam, Duseok Kang, Inpyo Bae, Euiseok Kim, Hyemi Min, Hansu Cho, Sukjin Kim, Bernhard Egger 0002, Soonhoi Ha, Kiyoung Choi Architectures and algorithms for user customization of CNNs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tongxin Yang, Tomoaki Ukezono, Toshinori Sato A low-power high-speed accuracy-controllable approximate multiplier design. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hong-Thu Nguyen, Xuan-Thuan Nguyen, Cong-Kha Pham An efficient fixed-point arithmetic processor using a hybrid CORDIC algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Enes Eken, Ismail Bayram, Hai Helen Li, Yiran Chen 0001 Modeling of biaxial magnetic tunneling junction for multi-level cell STT-RAM realization. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wenyu Sun, Yuxuan Huang, Qinghang Zhao, Fei Qiao, Tsung-Yi Ho, Xiaojun Guo, Huazhong Yang, Yongpan Liu Mechanical strain and temperature aware design methodology for thin-film transistor based pseudo-CMOS logic array. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shuyan Jiang, Qiong Wu, Shuyu Chen, Junshi Wang, Masoumeh Ebrahimi, Letian Huang, Qiang Li 0021 Optimizing dynamic mapping techniques for on-line NoC test. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sina Boroumand, Hadi Parandeh-Afshar, Philip Brisk, Siamak Mohammadi Exploration of approximate multipliers design space using carry propagation free compressors. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Daijoon Hyun, Youngsoo Shin Automatic insertion of airgap with design rule constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mingxi Cheng, Ji Li 0006, Shahin Nazarian DRL-cloud: Deep reinforcement learning-based resource provisioning and task scheduling for cloud service providers. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaoyu Sun 0001, Xiaochen Peng, Pai-Yu Chen, Rui Liu 0005, Jae-sun Seo, Shimeng Yu Fully parallel RRAM synaptic array for implementing binary neural network with (+1, -1) weights and (+1, 0) neurons. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dong-Soo Lee, Sung-Jin Kim, SeongJin Oh, Gyusub Won, Thi Kim Nga Truong, Imran Ali, Hamed Abbasizadeh, Behnam Samadpoor Rikan, Kang-Yoon Lee Low power FSK transceiver using ADPLL with direct modulation and integrated SPDT for BLE application. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhifeng Zhang, Dajiang Zhou, Shihao Wang, Shinji Kimura Quad-multiplier packing based on customized floating point for convolutional neural networks on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shaahin Angizi, Zhezhi He, Farhana Parveen, Deliang Fan IMCE: Energy-efficient bit-wise in-memory convolution engine for deep neural network. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhufei Chu, Mathias Soeken, Yinshui Xia, Giovanni De Micheli Functional decomposition using majority. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaoxiao Liu 0001, Wei Wen, Xuehai Qian, Hai Li 0001, Yiran Chen 0001 Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gabriel Cadilha Marques, Farhan Rasheed, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori From silicon to printed electronics: A coherent modeling and design flow approach based on printed electrolyte gated FETs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chenguang Wang 0003, Yici Cai, Qiang Zhou 0001 HLIFT: A high-level information flow tracking method for detecting hardware Trojans. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Younghyun Lim, Jeonghyun Lee, Suneui Park, Jaehyouk Choi An external-capacitor-less high-PSR low-dropout regulator using an adaptive supply-ripple cancellation technique to the body-gate. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qi Nie, Sharad Malik MemFlow: Memory-driven data scheduling with datapath co-design in accelerators for large-scale inference applications. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kaiwei Zou, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 XORiM: A case of in-memory bit-comparator implementation and its performance implications. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Boyu Zhang 0001, Azadeh Davoodi, Yu Hen Hu Exploring energy and accuracy tradeoff in structure simplification of trained deep neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Oh-Yong Jung, Hyun-Gi Seok, Anjana Dissanayake, Sang-Gug Lee 0001 A 2.4GHz, -102dBm-sensitivity, 25kb/s, 0.466mW interference resistant BFSK multi-channel sliding-IF ULP receiver. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license