The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Peter Gutberlet, Heinrich Krämer, Wolfgang Rosenstiel CASCH: a scheduling algorithm for "high level"-synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Akhilesh Tyagi An algebraic model for design space with applications to function module generation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Hans Eveking, Christoph Mai Formal verification of timing conditions. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Hélène Collavizza Functional semantics of microprocessors at the microprogram level and correspondence with the machine instruction level. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Carlo Marazzini, Mauro Santomauro, Michele Taliercio CIRCE: a program for parasitic parameter extraction. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1H. Warmers, D. Sass, Ernst-Helmut Horneber Switch-level timing models in the MOS simulator BRASIL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Steve Hodgson, Len Theobald, W. B. Hughes, Richard Illman ASTA: an integrated system for bist analysis & automatic test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Tomás Pérez Segovia, Anne-Françoise Joanblanq CACTUS: a symbolic CMOS two-dimensional compactor. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Bernd Becker 0001, Thomas Burch, Günter Hotz, D. Kiel, Reiner Kolla, Paul Molitor, Hans-Georg Osthof, Gisela Pitsch, Uwe Sparmann A graphical system for hierarchical specifications and checkups of VLSI circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Frédéric Mailhot 0001, Giovanni De Micheli Technology mapping using boolean matching and don't care sets. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Bruno Poterie Storage mechanism for VHDL intermediate form. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Ankan K. Pramanick, Sudhakar M. Reddy On the fault coverage of delay fault detecting tests. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Olivier Coudert, Christian Berthet, Jean Christophe Madre Formal boolean manipulations for the verification of sequential machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Christian Masson, Denis Barbier, Remy Escassut, Daniel Winer, Gregory Chevallier, Pierre François Zeegers CHEOPS: an integrated VLSI floor planning and chip assembly system implemented in object oriented LISP. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1H. J. Kappen, F. M. J. de Bont An efficient placement method for large standard-cell and sea-of-gates designs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF optimization, Quadratic Assignment Problem, recursive partitioning, Standard Cell placement
1Werner Grass A branch-and-bound method for optimal transformation of data flow graphs for observing hardware constraints. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1F. Darlay, Bernard Courtois Robust tests for stuck-open faults and design for testability of reconvergent fan-out CMOS logic networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Thomas Kathöfer, W. Fox, D. Nolte, K. Pielsticker, R. Quester, F. Rupprecht, M. Schrewe A database interface for phased tool integration. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Reiner Kolla A dynamic programming approach to the power supply net sizing problem. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch Fault modelling and fault equivalence in CMOS technology. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Fault modelling, Test pattern generation, Fault collapsing
1Neerav Berry, Barry M. Pangrle SCHALLOC: an algorithm for simultaneous scheduling & connectivity binding in a datapath synthesis system. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Peter Marwedel Matching system and component behaviour in MIMOLA synthesis tools. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Paolo Camurati, Antonio Lioy, Paolo Prinetto, Matteo Sonza Reorda Diagnosis oriented test pattern generation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1R. Burgess, C. Wouters PARAGON: a new package for gate matrix layout synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF cell generation, gate-matrix layout, routing, simulated annealing, optimisation, placement, logic synthesis, physical design
1F. Theeuwen Logic optimization on a concurrent processing computer. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Raul Camposano, Reinaldo A. Bergamaschi Redesign using state splitting. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1M. T. van Stiphout, Jos T. J. van Eijndhoven, H. W. Buurman PLATO: a new piecewise linear simulation tool. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1M. Y. Yu, Xiaoyan Hong, Y. E. Lien, Z. Z. Ma, J. G. Bo, W. J. Zhuang A new clustering approach and its application to BBL placement. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Noritake Yonezawa, Nobuyuki Nishiguchi, Atsushi Etani, Fumiaki Tsukuda, Ryuichi Hashishita A VLSI floorplanner based on "balloon" expansion. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Arvind Srinivasan 0004, Ernest S. Kuh MOLE: a sea-of-gates detailed router. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF physical design, global routing, detailed routing
1T. C. O. Young, Hilary J. Kahn A procedural interface to CAD data based on EDIF. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Daniele D. Caviglia, Giacomo M. Bisio, Francesco Curatelli, L. Giovannacci, Luigi Raffo Pre-placement of VLSI blocks through learning neural networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Neural Net Models, Placement, Optimization Techniques
1Tuomo Tikkanen, Timo Lappänen, Jorma Kivelä Structured analysis and VHDL in embedded ASIC design and verification. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Jaan Haabma, Bernd Steinmüller The NMP-CADLAB framework: a common framework for tool integration and development. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Rene David, S. Rahal, J. L. Rainard Some relationships between delay testing and stuck-open testing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF stuck-open, combinational circuits, CMOS, Delay testing, robust test
1Kevin McCullen, John Thorvaldson, David Demaris, Patrick Lampin A system for floorplanning with hierarchical placement and wiring. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Mikael R. K. Patel A design representation for high level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Data Structures, High Level Synthesis, Design Automation, Design Representation
1Pieter van der Wolf, Peter Bingley, Patrick M. Dewilde On the architecture of a CAD framework: the NELSIS approach. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Ahmed Hemani, Adam Postula A neural net based self organising scheduling algorithm. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Behavioural Synthesis, Scheduling, Neural Nets, Self Organisation, Optimisation Techniques
1Mattie N. Sim, Patrick M. Dewilde An object-oriented persistent database interface for CAD. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1João Paulo Teixeira 0001, Isabel C. Teixeira, Carlos F. Beltrán Almeida, Fernando M. Gonçalves, Júlio Gonçalves, R. Crespo A strategy for testability enhancement at layout level. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Rolf Ernst, S. Sutarwala, J.-Y. Jou, M. Tong Simulation based verification of register-transfer level behavioral synthesis tools. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1M. Razaz, J. Gan Fuzzy set based initial placement for IC layout. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Dirk Lanneer, Francky Catthoor, Gert Goossens, Marc Pauwels, Jef L. van Meerbergen, Hugo De Man Open-ended system for high-level synthesis of flexible signal processors. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1M. Pipponzi, Fabio Somenzi An iterative algorithm for the binate covering problem. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Keith R. Dimond, Samir Hassan An incremental functional simulator implemented on a network of transputers. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Xianjin Yao, C. L. Liu 0001 Solution of a module orientation and rotation problem. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Pedro Reis dos Santos, Helena Sarmento, Luís M. Vidigal Ghost/Spook: user interface and process management in the PACE framework. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1David T. Blaauw, Daniel G. Saab, Junsheng Long, Jacob A. Abraham Derivation of signal flow for switch-level simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Gordon F. Taylor Design to test migration: a tester and a simulator. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1D. Patrick, Colin Lyden An event-driven transient simulation algorithm for MOS and bipolar circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1G. W. Sloof, Peter Bingley, Patrick M. Dewilde, T. G. R. M. van Leuken, Pieter van der Wolf Design data management in a distributed hardware environment. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Antoine Delaruelle, O. McArdle, Jef L. van Meerbergen, Cees Niessen Synthesis of delay functions in DSP compilers. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Jos T. J. van Eijndhoven, M. T. van Stiphout, H. W. Buurman Multirate integration in a direct simulation method. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Multirate Integration, Timing, Circuit Simulation
1Che W. Chiou, Ted C. Yang Fully testable PLA design with minimal extra input. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Georges G. E. Gielen, Koen Swings, Willy M. C. Sansen An intelligent design system for analogue integrated circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Maria J. Avedillo, José M. Quintana, José Luis Huertas A new method for the state reduction of incompletely specified finite sequential machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Chang-Sheng Ying, Joshua Sook-Leung Wong, X. L. Hong, E. Q. Wang Path search on rectangular floorplan. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1P. Johannes, P. Das, Luc J. M. Claesen, Hugo De Man SLOCOP-II: a versatile timing verification system for MOSVLSI. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Static timing verification
1Allen C.-H. Wu, Nels Vander Zanden, Daniel Gajski A new algorithm for transistor sizing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Diederik Verkest, Luc J. M. Claesen, Hugo De Man Correctness proofs of parameterized hardware modules in the CATHEDRAL-II synthesis environment. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Wolfgang Meier Hierarchical layout verification for submicron designs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Vishwani D. Agrawal, Kwang-Ting Cheng An architecture for synthesis of testable finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Robert Tjärnström Automatic generation of timing specifications for CMOS transistor subnetworks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Bryan Preas Channel routing with non-terminal doglegs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Martin Lefebvre 0001, Chong Chan, Grant Martin Transistor placement and interconnect algorithms for leaf cell synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1J. Zegers, Paul Six, Jan M. Rabaey, Hugo De Man CGE: automatic generation of controllers in the CATHEDRAL-II silicon compiler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Carles Ferrer 0001, Joan Oliver, Elena Valderrama A new switch-level test pattern generation algorithm based on single path over a graph representation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Mehrdad Negahban, Daniel Gajski Silicon compilation of switched: capacitor networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Uwe Hinsberger, Reiner Kolla Cell based performance optimization of combinational circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Frank H. Huentemann, Utz G. Baitinger A gate-matrix oriented partitioning approach for multilevel logical networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Grazia Arato, Giuseppe Bussolino, Anna M. Fiammengo, Roberto Manione ACCORDO: second generation floor planning. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Lukas P. P. P. van Ginneken, Ralph H. J. M. Otten Optimal slicing of plane point placements. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Jason Cong, C. L. Liu 0001 On the k-layer planar subset and via minimization problems. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Wu-Tung Cheng, Janak H. Patel PROOFS: a super fast fault simulator for sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Robert A. Cottrell Event-driven behavioural simulation of analogue transfer functions. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Behavioural Simulation, Mixed Analogue-Digital Simulation, Modelling
1Philippe Bondono, Ahmed Amine Jerraya, Armand Hornik, Bernard Courtois, D. Bonifas NAUTILE: a safe environment for silicon compilation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1J. P. Schupp, Johan Cockx, Luc J. M. Claesen, Hugo De Man SPI: an open interface integrating highly interactive electronic CAD tools. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Veronika Eisele, Bernhard Hoppe, Oliver Kiehl Transmission gate delay models for circuit optimization. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Torsten Grüning, Udo Mahlstedt, Wilfried Daehn, Cengiz Özcan Accelerated test pattern generation by cone-oriented circuit partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Saleem M. Haider, Peng H. Ang A data-structuring technique for gridded VLSI layouts. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Leon Stok Interconnect optimisation during data path allocation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1David J. Mallon, Peter B. Denyer A new approach to pipeline optimisation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Jack Ferguson An improved layout verification algorithm (LAVA). Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Mart Altmäe MINT: a VHDL simulation system. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Sybille Hellebrand, Hans-Joachim Wunderlich Tools and devices supporting the pseudo-exhaustive test. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF automatic design for testability, Pseudo-exhaustive test
1Christian Jay Experience in functional-level test generation and fault coverage in a silicon compiler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Kaushik Roy 0001, Jacob A. Abraham High level test generation using data flow descriptions. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Gordon Adshead, Jochen A. G. Jess (eds.) European Design Automation Conference, EURO-DAC 1990, Glasgow, Scotland, UK, March 12-15, 1990 Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  BibTeX  RDF
1Khushro Shahookar, Pinaki Mazumder GASP: a Genetic Algorithm for Standard cell Placement. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Niraj K. Jha, Qiao Tong Detection of multiple input bridging and stuck-on faults in CMOS logic circuits using current monitoring. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Lech Józwiak Efficent suboptimal state assignment for large sequential machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Abdelhakim Safir, Bertrand Y. Zavidovique Towards a global solution to high level synthesis problems. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Chong-Min Kyung, Josef Widder, Dieter A. Mlynski Adaptive Cluster Growth (ACG): a new algorithm for circuit packing in rectilinear region. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Patrick Odent, Luc J. M. Claesen, Hugo De Man A combined waveform relaxation: waveform relaxation newton algorithm for efficient parallel circuit simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Liliana Díaz-Olavarrieta, Safwat G. Zaky A new synthesis technique for multilevel combinational circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF testing, mappings, synthesis, Combinational
1Eleanor Wu, Paul W. Rutkowski PEST: a tool for implementing pseudo-exhaustive self test. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Robi Dutta, Maurice Marks, Craig Morrissey, Ravi Rao, Lee Sapiro A flexible hierarchical 3-D module assembler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Martin Klimke, Christian Winkelmeyr, Herbert Eichinger Development of test programs with the aid of a testeroriented pattern language. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Richard I. Hartley, Kenneth Welles II, Michael J. Hartman, Paul Delano, Abhijit Chatterjee Rapid prototyping using high density interconnects. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license