Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
9 | Phuong Hoai Ha, Philippas Tsigas |
Reactive Multi-Word Synchronization for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 184-193, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Linas Bukauskas, Leo Mark, Edward Omiecinski, Michael H. Böhlen |
iTopN: incremental extraction of the N most visible objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2003 ACM CIKM International Conference on Information and Knowledge Management, New Orleans, Louisiana, USA, November 2-8, 2003, pp. 461-468, 2003, ACM, 1-58113-723-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
incremental observer relative data extraction, indexing visibility ranges, moving observer, top most visible objects |
9 | David A. Evans 0001, Gregory Grefenstette, Yan Qu, James G. Shanahan, Victor Sheftel |
Agentized, Contextualized Filters for Information Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMKM ![In: Agent Mediated Knowledge Management, International Symposium AMKM 2003, Stanford, CA, USA, March 24-26, 2003, Revised and Invited Papers, pp. 229-244, 2003, Springer, 3-540-20868-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Peter Petrov, Alex Orailoglu |
Power Efficiency through Application-Specific Instruction Memory Transformations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10030-10035, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Andrei Z. Broder, Marc Najork, Janet L. Wiener |
Efficient URL caching for world wide web crawling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the Twelfth International World Wide Web Conference, WWW 2003, Budapest, Hungary, May 20-24, 2003, pp. 679-689, 2003, ACM, 1-58113-680-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
URL caching, distributed crawlers, web graph models, caching, crawling, web crawlers |
9 | Eric Chi, A. Michael Salem, R. Iris Bahar, Richard S. Weiss |
Combining Software and Hardware Monitoring for Improved Power and Performance Tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 7th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-7 2003), 8 February 2003, Anaheim, CA, USA, pp. 57-64, 2003, IEEE Computer Society, 0-7695-1889-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Pramod Ramarao, Akhilesh Tyagi |
An Adiabatic Framework for a Low Energy µ-Architecture & Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 7th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-7 2003), 8 February 2003, Anaheim, CA, USA, pp. 65-74, 2003, IEEE Computer Society, 0-7695-1889-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Michael J. Freedman, David Mazières |
Sloppy Hashing and Self-Organizing Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPTPS ![In: Peer-to-Peer Systems II, Second International Workshop, IPTPS 2003, Berkeley, CA, USA, February 21-22,2003, Revised Papers, pp. 45-55, 2003, Springer, 3-540-40724-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | João M. P. Cardoso |
Loop Dissevering: A Technique for Temporally Partitioning Loops in Dynamically Reconfigurable Computing Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 181, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Patcharee Basu, Kanchana Kanchanasut |
A Multicast Push Caching System over a UDLR Satellite Link. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT Workshops ![In: 2003 Symposium on Applications and the Internet Workshops (SAINT 2003), 27-31 January 2003 - Orlando, FL, USA, Proceedings, pp. 46-49, 2003, IEEE Computer Society, 0-7695-1873-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Unidirectional link routing protocol, Reliable multicast, Cooperative cache, Push technology |
9 | Jiwu Shu, Jun Yao, Changdong Fu, Weimin Zheng |
A Highly Efficient FC-SAN Based on Load Stream. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Programming Technologies, 5th International Workshop, APPT 2003, Xiamen, China, September 17-19, 2003, Proceedings, pp. 31-40, 2003, Springer, 3-540-20054-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
storage network system, RAMDISK, I/O route, FC-SAN, workload |
9 | Michael Kaminsky, George Savvides, David Mazières, M. Frans Kaashoek |
Decentralized user authentication in a global file system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 19th ACM Symposium on Operating Systems Principles 2003, SOSP 2003, Bolton Landing, NY, USA, October 19-22, 2003, pp. 60-73, 2003, ACM, 1-58113-757-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
authentication, authorization, file system, groups, users, credentials, ACL, SFS |
9 | Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai |
Recycling waste: exploiting wrong-path execution to improve branch prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 12-21, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
deep pipelines, branch prediction, instruction reuse |
9 | Hiroshi Takamura, Koji Inoue, Vasily G. Moshnyaga |
Reducing Access Count to Register-Files through Operand Reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 8th Asia-Pacific Conference, ACSAC 2003, Aizu-Wakamatsu, Japan, September 23-26, 2003, Proceedings, pp. 112-121, 2003, Springer, 3-540-20122-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Adam Wiggins, Simon Winwood, Harvey Tuch, Gernot Heiser |
Legba: Fast Hardware Support for Fine-Grained Protection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 8th Asia-Pacific Conference, ACSAC 2003, Aizu-Wakamatsu, Japan, September 23-26, 2003, Proceedings, pp. 320-336, 2003, Springer, 3-540-20122-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Susanne Albers, Markus Büttner |
Integrated Prefetching and Caching with Read and Write Requests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WADS ![In: Algorithms and Data Structures, 8th International Workshop, WADS 2003, Ottawa, Ontario, Canada, July 30 - August 1, 2003, Proceedings, pp. 162-173, 2003, Springer, 3-540-40545-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Masaru Takesue |
Software Queue-Based Algorithms for Pipelined Synchronization on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 32nd International Conference on Parallel Processing Workshops (ICPP 2003 Workshops), 6-9 October 2003, Kaohsiung, Taiwan, pp. 115-122, 2003, IEEE Computer Society, 0-7695-2018-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
queue-based locks, algorithms, synchronization, Multiprocessors, pipelining |
9 | Jason Sungtae Kim, Michael Bedford Taylor, Jason E. Miller, David Wentzlaff |
Energy characterization of a tiled architecture processor with on-chip networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 424-427, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
raw microprocessor, scalar operand network, power, tile |
9 | José L. Ayala, Marisa Luisa López-Vallejo, Alexander V. Veidenbaum, Carlos A. Lopez |
Energy Aware Register File Implementation through Instruction Predecode. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 14th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2003), 24-26 June 2003, The Hague, The Netherlands, pp. 86-96, 2003, IEEE Computer Society, 0-7695-1992-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Innes Martin, Joemon M. Jose |
A personalised information retrieval tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGIR ![In: SIGIR 2003: Proceedings of the 26th Annual International ACM SIGIR Conference on Research and Development in Information Retrieval, July 28 - August 1, 2003, Toronto, Canada, pp. 423-424, 2003, ACM, 1-58113-646-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
adaptive retrieval, context-sensitive searching, information-seeking interfaces, personal information agents |
9 | Guansong Zhang, Francisco Martínez, Arie Tal, Bob Blainey |
Busy-Wait Barrier Synchronization Using Distributed Counters with Local Sensor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOMPAT ![In: OpenMP Shared Memory Parallel Programming, International Workshop on OpenMP Applications and Tools, WOMPAT 2003, Toronto, Canada, June 26-27, 2003, Proceedings, pp. 84-98, 2003, Springer, 3-540-40435-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
distributed counter, synchronization, multiprocessor, Barrier |
9 | Jonghyun Lee 0001, Marianne Winslett, Xiaosong Ma, Shengke Yu |
Declustering Large Multidimensional Data Sets for Range Queries over Heterogeneous Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSDBM ![In: Proceedings of the 15th International Conference on Scientific and Statistical Database Management (SSDBM 2003), 9-11 July 2003, Cambridge, MA, USA, pp. 212-221, 2003, IEEE Computer Society, 0-7695-1964-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Kugan Vivekanandarajah, Thambipillai Srikanthan, Saurav Bhattacharyya, Prasanna Venkatesh Kannan |
Incorporating Pattern Prediction Technique for Energy Efficient Filter Cache Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary, Alberta, Canada, pp. 44-47, 2003, IEEE Computer Society, 0-7695-1944-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh |
A Comparison of Asymptotically Scalable Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 35(2), pp. 129-150, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Shashi Shekhar, Chang-Tien Lu, Sanjay Chawla, Sivakumar Ravada |
Efficient Join-Index-Based Spatial-Join Processing: A Clustering Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 14(6), pp. 1400-1421, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Optimal page access sequence, spatial join, join processing, join index |
9 | David Sands 0001 |
Probability and Timing: Challenges for Secure Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAPM-PROBMIV ![In: Process Algebra and Probabilistic Methods, Performance Modeling and Verification, Second Joint International Workshop PAPM-PROBMIV 2002, Copenhagen, Denmark, July 25-26, 2002, Proceedings, pp. 16, 2002, Springer, 3-540-43913-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Aameek Singh, Abhishek Trivedi, Krithi Ramamritham, Prashant J. Shenoy |
PTC : Proxies that Transcode and Cache in Heterogeneous Web Client Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISE ![In: 3rd International Conference on Web Information Systems Engineering, WISE 2002, Singapore, December 12-14, 2002, Proceedings, pp. 11-20, 2002, IEEE Computer Society, 0-7695-1766-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Gautham K. Dorai, Donald Yeung |
Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA, pp. 30-41, 2002, IEEE Computer Society, 0-7695-1620-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Seiji Yamada, Fumihiko Murase |
Intelligent user interface for a web search engine by organizing page information agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IUI ![In: Proceedings of the 7th International Conference on Intelligent User Interfaces, IUI 2002, San Francisco, California, USA, January 13-16, 2002, pp. 230-231, 2002, ACM, 1-58113-459-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
World Wide Web (WWW) |
9 | Stephen F. Jenks, Jean-Luc Gaudiot |
An Evaluation of Thread Migration for Exploiting Distributed Array Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 16th Annual International Symposium on High Performance Computing Systems and Applications, HPCS 2002, June 16-19, 2002, Moncton, NB, Canada, pp. 190-195, 2002, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Chieh-Yih Wan, Andrew T. Campbell, Lakshman Krishnamurthy |
PSFQ: a reliable transport protocol for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSNA ![In: Proceedings of the First ACM International Workshop on Wireless Sensor Networks and Applications, WSNA 2002, Atlanta, Georgia, USA, September 28, 2002, pp. 1-11, 2002, ACM, 1-58113-589-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
wireless sensor networks, reliable transport protocols |
9 | Resit Sendag, David J. Lilja, Steven R. Kunkel |
Exploiting the Prefetching Effect Provided by Executing Mispredicted Load Instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 468-480, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Wenjun Sun, Kevin Lü 0001 |
Parallel Query Processing Algorithms for Semi-structured Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, 14th International Conference, CAiSE 2002, Toronto, Canada, May 27-31, 2002, Proceedings, pp. 770-773, 2002, Springer, 3-540-43738-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Xiaosong Ma, Marianne Winslett, Jonghyun Lee 0001, Shengke Yu |
Faster Collective Output through Active Buffering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Ryo Takata, Kenji Kise, Hiroki Honda, Toshitsugu Yuba |
DEM-1: A Particle Simulation Machine for Efficient Short-Range Interaction Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Embedded Atom Method, Parallel architectures, Molecular dynamics, Pipeline architectures, Particle simulation |
9 | Frank Vahid, Susan Cotterell |
Tuning of Loop Cache Architectures to Programs in Embedded System Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 8-13, 2002, ACM / IEEE Computer Society, 1-58113-576-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
customized architectures, embedded systems, low power, synthesis, memory hierarchy, cores, low energy, tuning, instruction fetching, architecture tuning, loop cache, filter cache |
9 | Haris Lekatsas, Wayne H. Wolf, Yuan Xie 0001 |
Code Compression for VLIW Processors Using Variable-to-Fixed Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 138-143, 2002, ACM / IEEE Computer Society, 1-58113-576-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
assembly-level analysis, performance estimation, superscalar architectures |
9 | Susan Cotterell, Frank Vahid |
Synthesis of customized loop caches for core-based embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 655-662, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
customized architectures, embedded systems, low power, synthesis, estimation, memory hierarchy, low energy, tuning, instruction fetching, architecture tuning, loop cache |
9 | Bo Hong, Viktor K. Prasanna |
Adaptive Matrix Multiplication in Heterogeneous Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 9th International Conference on Parallel and Distributed Systems, ICPADS 2002, Taiwan, ROC, December 17-20, 2002, pp. 129-, 2002, IEEE Computer Society, 0-7695-1760-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Weiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 |
Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 4th International Symposium, ISHPC 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings, pp. 120-132, 2002, Springer, 3-540-43674-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Glenn Reinman, Brad Calder, Todd M. Austin |
High Performance and Energy Efficient Serial Prefetch Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 4th International Symposium, ISHPC 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings, pp. 146-159, 2002, Springer, 3-540-43674-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Tejas Karkhanis, James E. Smith 0001, Pradip Bose |
Saving energy with just in time instruction delivery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 178-183, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
adaptive processor, low-power, instruction delivery |
9 | Ann Gordon-Ross, Frank Vahid |
Dynamic Loop Caching Meets Preloaded Loop Caching - A Hybrid Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 446-449, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
embedded systems, architecture, low power, Loop cache |
9 | Chi Ta Wu, TingTing Hwang |
Instruction buffering for nested loops in low power design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 81-84, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Chiu-Che Tseng, Piotr J. Gmytrasiewicz |
Real Time Decision Support System for Portfolio Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 35th Hawaii International Conference on System Sciences (HICSS-35 2002), CD-ROM / Abstracts Proceedings, 7-10 January 2002, Big Island, HI, USA, pp. 79, 2002, IEEE Computer Society, 0-7695-1435-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Real time system, Bayesian Network, Knowledge base, Portfolio management, Uncertainty reasoning |
9 | Woo-Chan Park, Kil-Whan Lee, Il-San Kim, Tack-Don Han, Sung-Bong Yang |
A Mid-Texturing Pixel Rasterization Pipeline Architecture for 3D Rendering Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 13th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2002), 17-19 July 2002, San Jose, CA, USA, pp. 173-, 2002, IEEE Computer Society, 0-7695-1712-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Mark D. Aagaard, Nancy A. Day, Meng Lou |
Relating Multi-step and Single-Step Microprocessor Correctness Statements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 4th International Conference, FMCAD 2002, Portland, OR, USA, November 6-8, 2002, Proceedings, pp. 123-141, 2002, Springer, 3-540-00116-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Ho-Seop Kim, James E. Smith 0001 |
An Instruction Set and Microarchitecture for Instruction Level Distributed Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 71-81, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
9 | Jarrod A. Lewis, Mikko H. Lipasti, Bryan Black |
Avoiding Initialization Misses to the Heap. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 183-194, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
invalid memory traffic, initializing stores, cache installation, allocation range cache |
9 | Rushed Kanawati, Maria Malek |
A multi-agent system for collaborative bookmarking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: The First International Joint Conference on Autonomous Agents & Multiagent Systems, AAMAS 2002, July 15-19, 2002, Bologna, Italy, Proceedings, pp. 1137-1138, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
collaborative interface agents, hybrid neural-CBR classification, World Wide Web (WWW), bookmarks |
9 | Reiner W. Hartenstein |
Reconfigurable Computing: A New Business Model and its Impact on SoC Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 4-6 September 2001, Warsaw, Poland, pp. 103-111, 2001, IEEE Computer Society, 0-7695-1239-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Chen-Yong Cher, T. N. Vijaykumar |
Skipper: a microarchitecture for exploiting control-flow independence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 4-15, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | John W. Sias, Hillery C. Hunter, Wen-mei W. Hwu |
Enhancing loop buffering of media and telecommunications applications using low-overhead predication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 262-273, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, Thomas R. Puzak |
Branch History Guided Instruction Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001, pp. 291-300, 2001, IEEE Computer Society, 0-7695-1019-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Jesús Corbal, Roger Espasa, Mateo Valero |
DLP + TLP Processors for the Next Generation of Media Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001, pp. 219-228, 2001, IEEE Computer Society, 0-7695-1019-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Amir Roth, Gurindar S. Sohi |
Speculative Data-Driven Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001, pp. 37-48, 2001, IEEE Computer Society, 0-7695-1019-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Emre Özer 0001, Thomas M. Conte, Saurabh Sharma |
Weld: A Multithreading Technique Towards Latency-Tolerant VLIW Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2001, 8th International Conference, Hyderabad, India, December, 17-20, 2001, Proceedings, pp. 192-203, 2001, Springer, 3-540-43009-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Jason Liu 0001, David M. Nicol, King Tan |
Lock-free scheduling of logical processes in parallel simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel and Distributed Simulation ![In: Proceedings of the 15th Workshop on Parallel and Distributed Simulation, PADS 2001, Lake Arrowhead, California, USA, May 15-18, 2001, pp. 22-31, 2001, IEEE Computer Society, 0-7695-1104-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Edith Cohen, Haim Kaplan |
Proactive Caching of DNS Records: Addressing a Performance Bottleneck. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: 2001 Symposium on Applications and the Internet (SAINT 2001), 8-12 January 2001, San Diego, CA, USA, Proceedings, pp. 85-94, 2001, IEEE Computer Society, 0-7695-0942-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Bernard Goossens |
Typing the ISA to Cluster the Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 6th International Conference, PaCT 2001, Novosibirsk, Russia, September 3-7, 2001, Proceedings, pp. 232-242, 2001, Springer, 3-540-42522-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Panagiota Fatourou, Maurice Herlihy |
Adding networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twentieth Annual ACM Symposium on Principles of Distributed Computing, PODC 2001, Newport, Rhode Island, USA, August 26-29, 2001, pp. 308-310, 2001, ACM, 1-58113-383-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Rajit Manohar, Mika Nyström, Alain J. Martin |
Precise Exceptions in Asynchronous Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 19th Conference on Advanced Research in VLSI (ARVLSI 2001), 14-16 March 2001, Salt Lake City, UT, USA, pp. 16-28, 2001, IEEE Computer Society, 0-7695-1037-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Tsang-Ling Sheu, Chieh-Hsing Yang |
A Novel Hierarchical Cache Architecture for WWW Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: The 15th International Conference on Information Networking, ICOIN 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001, pp. 863-868, 2001, IEEE Computer Society, 0-7695-0951-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Cache Digest, WWW, Proxy Servers, ICP, Hierarchical Cache |
9 | Zhenyu Tang, Lei He 0001, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa |
Instruction Prediction for Step Power Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA, pp. 211-216, 2001, IEEE Computer Society, 0-7695-1025-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Baohua Gu, Bing Liu 0001, Feifang Hu, Huan Liu |
Efficiently Determining the Starting Sample Size for Progressive Sampling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: EMCL 2001, 12th European Conference on Machine Learning, Freiburg, Germany, September 5-7, 2001, Proceedings, pp. 192-202, 2001, Springer, 3-540-42536-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Rushed Kanawati, Maria Malek |
CoWing: A Collaborative Bookmark Management System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIA ![In: Cooperative Information Agents V, 5th International Workshop, CIA 2001, Modena, Italy, September 6-8, 2001, Proceedings, pp. 38-43, 2001, Springer, 3-540-42545-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Collaborative Information Agents, Hybrid Neural/CBR classification, Bookmark |
9 | Guy E. Blelloch, Perry Cheng, Phillip B. Gibbons |
Room synchronizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the Thirteenth Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA 2001, Heraklion, Crete Island, Greece, July 4-6, 2001, pp. 122-133, 2001, ACM, 1-58113-409-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
9 | Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos |
Using dynamic cache management techniques to reduce energy in general purpose processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 8(6), pp. 693-708, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Jan Hoogerbrugge |
Dynamic Branch Prediction for a VLIW Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 207-216, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Sang Jeong Lee, Pen-Chung Yew |
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 145-156, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Sang Jeong Lee, Yuan Wang, Pen-Chung Yew |
Decoupled Value Prediction on Trace Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 231-240, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Wide-issue superscalar processors, Trace processors, Speculative execution, Value prediction |
9 | Jan Hoogerbrugge, Lex Augusteijn |
Pipelined Java Virtual Machine Interpreters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 9th International Conference, CC 2000, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000, Berlin, Germany, March 25 - April 2, 2000, Proceedings, pp. 35-49, 2000, Springer, 3-540-67263-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Zhenyu Tang, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa, Lei He 0001 |
Ramp Up/Down Functional Unit to Reduce Step Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, First International Workshop, PACS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 13-24, 2000, Springer, 3-540-42329-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Paulo Braz Golgher, Alberto H. F. Laender, Altigran Soares da Silva, Berthier A. Ribeiro-Neto |
An Example-Based Environment for Wrapper Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER (Workshops) ![In: Conceptual Modeling for E-Business and the Web, ER 2000 Workshops on Conceptual Modeling Approaches for E-Business and The World Wide Web and Conceptual Modeling, Salt Lake City, Utah, USA, October 9-12, 2000, Proceedings, pp. 152-164, 2000, Springer, 3-540-41073-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Jan Hoogerbrugge |
Cost-Efficient Branch Target Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings., pp. 950-959, 2000, Springer, 3-540-67956-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | L. Kristin Wright, Steven McCanne, Jay Lepreau |
A reliable multicast webcast protocol for multimedia collaboration and caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 8th ACM International Conference on Multimedia 2000, Los Angeles, CA, USA, October 30 - November 3, 2000., pp. 21-30, 2000, ACM, 1-58113-198-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Zhong Su, Qiang Yang 0001, HongJiang Zhang |
A prediction system for multimedia pre-fetching in Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 8th ACM International Conference on Multimedia 2000, Los Angeles, CA, USA, October 30 - November 3, 2000., pp. 3-11, 2000, ACM, 1-58113-198-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Web prediction, Internet, multimedia, Markov decision processes, pre-fetching |
9 | Junpei Niwa, Takashi Matsumoto 0002, Kei Hiraki |
Comparative study of page-based and segment-based software DSM through compiler optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 14th international conference on Supercomputing, ICS 2000, Santa Fe, NM, USA, May 8-11, 2000, pp. 284-295, 2000, ACM, 1-58113-270-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Srinivas Mantripragada, Alexandru Nicolau |
Using profiling to reduce branch misprediction costs on a dynamically scheduled processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 14th international conference on Supercomputing, ICS 2000, Santa Fe, NM, USA, May 8-11, 2000, pp. 206-214, 2000, ACM, 1-58113-270-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | James E. Smith 0001 |
Instruction Level Distributed Processing: Adapting to Future Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, Third International Symposium, ISHPC 2000, Tokyo, Japan, October 16-18, 2000. Proceedings, pp. 1-6, 2000, Springer, 3-540-41128-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Timothy Sherwood, Brad Calder |
Loop Termination Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, Third International Symposium, ISHPC 2000, Tokyo, Japan, October 16-18, 2000. Proceedings, pp. 73-87, 2000, Springer, 3-540-41128-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Naehyuck Chang, Kwanho Kim, Hyung Gyu Lee |
Cycle-accurate energy consumption measurement and analysis: case study of ARM7TDMI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000, pp. 185-190, 2000, ACM, 1-58113-190-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Martin Burtscher, Benjamin G. Zorn |
Hybridizing and Coalescing Load Value Predictors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 81-92, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Hung-Chang Hsiao, Chung-Ta King |
Boosting the Performance of NOW-based Shared Memory Multiprocessors through Directory Hints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 20th International Conference on Distributed Computing Systems, Taipei, Taiwan, April 10-13, 2000, pp. 602-609, 2000, IEEE Computer Society, 0-7695-0601-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
NOW-based shared memory multiprocessor, directory hints, remote read latency, performance evaluation, interconnection network |
9 | Dorota M. Huizinga, Saurabh Desai |
Implementation of Informed Prefetching and Caching in Linux . ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITCC ![In: 2000 International Symposium on Information Technology (ITCC 2000), 27-29 March 2000, Las Vegas, NV, USA, pp. 443-448, 2000, IEEE Computer Society, 0-7695-0540-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Rosina Weber, David W. Aha, Héctor Muñoz-Avila, Len Breslow |
Active Delivery for Lessons Learned Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWCBR ![In: Advances in Case-Based Reasoning, 5th European Workshop, EWCBR 2000, Trento, Italy, September 6-9, 2000, Proceedings, pp. 322-334, 2000, Springer, 3-540-67933-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Steven K. Reinhardt, Shubhendu S. Mukherjee |
Transient fault detection via simultaneous multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 25-36, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Ramesh Radhakrishnan, Deependra Talla, Lizy Kurian John |
Allowing for ILP in an embedded Java processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 294-305, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Mark Oskin, Frederic T. Chong, Matthew K. Farrens |
HLS: combining statistical and symbolic simulation to guide microprocessor designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 71-82, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Woo Hyun Ahn, Sang Ho Park, Daeyeon Park |
Efficient Cooperative Caching for File Systems in Cluster-Based Web Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2000 IEEE International Conference on Cluster Computing (CLUSTER 2000), November 28th - December 1st, 2000, Technische Universität Chemnitz, Saxony, Germany, pp. 326-334, 2000, IEEE Computer Society, 0-7695-0896-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Allan Snavely, Dean M. Tullsen |
Symbiotic Jobscheduling for a Simultaneous Multithreading Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, MA, USA, November 12-15, 2000., pp. 234-244, 2000, ACM Press, 1-58113-317-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
9 | Doug Joseph, Dirk Grunwald |
Prefetching Using Markov Predictors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 121-133, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
cache, memory, Prefetching |
9 | Nicholas C. Gloy, Michael D. Smith 0001 |
Procedure placement using temporal-ordering information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 21(5), pp. 977-1027, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
temporal profiling, working-set optimization, conflict misses, code placement |
9 | Martin Burtscher, Benjamin G. Zorn |
Exploring Last n Value Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 66-76, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
predictor design, value prediction, processor performance, value locality, behavior prediction |
9 | Kwok K. Yu, Byung Suk Lee 0001, Michael R. Olson |
The Scalability of an Object Descriptor Architecture OODBMS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAS ![In: 1999 International Database Engineering and Applications Symposium, IDEAS 1999, Montreal, Canada, August 2-4, 1999, Proceedings, pp. 370-377, 1999, IEEE Computer Society, 0-7695-0265-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
benchmark testing, scalability, architecture, object-oriented database |
9 | Sergei Y. Larin, Thomas M. Conte |
Compiler-Driven Cached Code Compression Schemes for Embedded ILP Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 82-92, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
9 | Craig B. Zilles, Joel S. Emer, Gurindar S. Sohi |
The Use of Multithreading for Exception Handling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 219-229, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
9 | Jesús Corbal, Roger Espasa, Mateo Valero |
Exploiting a New Level of DLP in Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 72-79, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
9 | Bin Lan, Stéphane Bressan, Beng Chin Ooi, Y. C. Tay |
Making Web Servers Pushier. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WEBKDD ![In: Web Usage Analysis and User Profiling, International WEBKDD'99 Workshop, San Diego, California, USA, August 15, 1999, Revised Papers, pp. 112-125, 1999, Springer, 3-540-67818-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
9 | Chi-Hung Chi, Jun-Li Yuan |
Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 5th International Conference, PaCT-99, St. Petersburg, Russia, September 6-10, 1999, Proceedings, pp. 28-41, 1999, Springer, 3-540-66363-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|