The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ReConFig"( http://dblp.L3S.de/Venues/ReConFig )

URL (DBLP): http://dblp.uni-trier.de/db/conf/reconfig

Publication years (Num. hits)
2005 (29) 2006 (42) 2008 (77) 2009 (78) 2010 (79) 2011 (85) 2012 (68) 2013 (84) 2014 (86) 2015 (80) 2016 (56) 2017 (53) 2018 (40) 2019 (43)
Publication types (Num. hits)
inproceedings(886) proceedings(14)
Venues (Conferences, Journals, ...)
ReConFig(900)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 389 occurrences of 238 keywords

Results
Found 900 publication records. Showing 900 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Crina Costea, Florent Bernard, Viktor Fischer, Robert Fouquet Analysis and Enhancement of Ring Oscillators Based Physical Unclonable Functions in FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dimitris Theodoropoulos, Georgi Kuzmanov, Georgi Gaydadjiev A Minimalistic Architecture for Reconfigurable WFS-Based Immersive-Audio. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Laurent Sauvage, Sylvain Guilley, Florent Flament, Jean-Luc Danger, Yves Mathieu Cross-Correlation Cartography. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1G. Ramirez-Conejo, Javier Díaz-Carmona, Agustín Ramírez-Agundis, Alfredo Padilla-Medina, José G. Delgado-Frias FPGA Implementation of Adjustable Wideband Fractional Delay FIR Filters. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michael Schmidt 0004, Dietmar Fey An Optimized FPGA Implementation for a Parallel Path Planning Algorithm Based on Marching Pixels. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Juan Carlos Díaz Martín, Carolina Gómes-Tostón Gutierrez, Álvaro Cortés Fácila, Juan A. Rico-Gallego Issues on Building an MPI Cluster on Microblaze. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Manuel Saldaña, Arun Patel, Hao Jun Liu, Paul Chow Using Partial Reconfiguration in an Embedded Message-Passing System. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ali Akbar Zarezadeh, Christophe Bobda Performance Analysis of Hardware/Software Middleware in Network of Smart Camera Systems. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dmitri Mihhailov, Valery Sklyarov, Iouliia Skliarova, Alexander Sudnitson Parallel FPGA-Based Implementation of Recursive Sorting Algorithms. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christoforos Kachris, George Nikiforos, Stamatis G. Kavadias, Vassilis Papaefstathiou, Manolis Katevenis Network Processing in Multi-core FPGAs with Integrated Cache-Network Interface. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Luis A. Vera-Salas, Sandra V. Moreno-Tapia, Roque Alfredo Osornio-Rios, René de Jesús Romero-Troncoso Reconfigurable Node Processing Unit for a Low-Power Wireless Sensor Network. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kaveh Aasaraai, Andreas Moshovos An Efficient Non-blocking Data Cache for Soft Processors. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ludovic Devaux, Sébastien Pillement, Daniel Chillet, Didier Demigny R2NoC: Dynamically Reconfigurable Routers for Flexible Networks on Chip. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Holger Flatt, Holger Blume, Peter Pirsch Mapping of a Real-Time Object Detection Application onto a Configurable RISC/Coprocessor Architecture at Full HD Resolution. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mohamed El-Hadedy 0001, Martin Margala, Danilo Gligoroski, Svein J. Knapskog Implementing the Blue Midnight Wish Hash Function on Xilinx Virtex-5 FPGA Platform. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christian de Schryver, Daniel Schmidt 0001, Norbert Wehn, Elke Korn, Henning Marxen, Ralf Korn A New Hardware Efficient Inversion Based Random Number Generator for Non-uniform Distributions. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Eduardo Cabal-Yepez, Ricardo Saucedo-Gallaga, Armando G. Garcia-Ramirez, Arturo A. Fernandez-Jaramillo, Marcos Pena-Anaya, Martin Valtierra-Rodriguez FPGA-Based Online Detection of Multiple-Combined Faults through Information Entropy and Neural Networks. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Joshua S. Monson, Michael J. Wirthlin, Brad L. Hutchings Fault Injection Results of Linux Operating on an FPGA Embedded Platform. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Khaled Sobaihi, Akram Hammoudeh, David Scammell FPGA Implementation of OFDM Transceiver for a 60GHz Wireless Mobile Radio System. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zouha Cherif, Florent Flament, Jean-Luc Danger, Shivam Bhasin, Sylvain Guilley, Hervé Chabanne Evaluation of White-Box and Grey-Box Noekeon Implementations in FPGA. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Séamas McGettrick, Dermot Geraghty Hardware Computation of the PageRank Eigenvector. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Angelo Kuti Lusala, Jean-Didier Legat A Hybrid Router Combining SDM-Based Circuit Swictching with Packet Switching for On-chip Networks. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Valery Sklyarov, Iouliia Skliarova, Dmitri Mihhailov, Alexander Sudnitson Synthesis and Implementation of Hierarchical Finite State Machines with Implicit Modules. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michael Schaeferling, Gundolf Kiefer Flex-SURF: A Flexible Architecture for FPGA-Based Robust Feature Extraction for Optical Tracking Systems. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yue Wang, Kevin Cunningham, Prawat Nagvajara, Jeremy Johnson Singular Value Decomposition Hardware for MIMO: State of the Art and Custom Design. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Faisal Nadeem, Mahmood Ahmadi, Muhammad Faisal Nadeem, Stephan Wong Modeling and Simulation of Reconfigurable Processors in Grid Networks. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Azad Fakhari, Mahmood Fathy A Two Level Architecture for High Throughput DCT-Processor and Implementing on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Josef Angermeier, Stefan Wildermann, Eugen Sibirko, Jürgen Teich Placing Streaming Applications with Similarities on Dynamically Partially Reconfigurable Architectures. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Janardhan Singaraju, John A. Chandy Parallel Data Sort Using Networked FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniel M. Muñoz, Carlos H. Llanos, Leandro dos Santos Coelho, Mauricio Ayala-Rincón Hardware Particle Swarm Optimization Based on the Attractive-Repulsive Scheme for Embedded Applications. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1J. Pindter-Medina, Samuel Pichardo, Laura Curiel, Andrés David García García, Jesús Enrique Chong-Quero Multi-channel Driving Systems for Therapeutic Applications Based-on Focused Ultrasound. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sébastien Guillet, Florent de Lamotte, Éric Rutten, Guy Gogniat, Jean-Philippe Diguet Modeling and Formal Control of Partial Dynamic Reconfiguration. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xiang Tian 0001, Khaled Benkrid Fixed-Point Arithmetic Error Estimation in Monte-Carlo Simulations. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jochen Strunk, Johannes Hiltscher, Wolfgang Rehm, Heiko Schick Communication Architectures for Run-Time Reconfigurable Modules in a 2-D Mesh on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Gabriel Marchesan Almeida, Sameer Varyani, Rémi Busseuil, Nicolas Hebert, Gilles Sassatelli, Pascal Benoit, Lionel Torres, Michel Robert Providing Better Multi-processor Systems-on-Chip Resources Utilization by Means of Using a Control-Loop Feedback Mechanism. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ricardo P. Jasinski, Volnei A. Pedroni, Antonio Gortan, Walter Godoy Jr. An Improved GF(2) Matrix Inverter with Linear Time Complexity. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rajesh Kannan Megalingam, Ashwin Mohan, Shekhil Hassan Thavalengal, Tanmay Muralidhar Rao, Vivek Periye Low Power Dual Core Microcontroller. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniel Kriesten, Volker Pankalla, Ulrich Heinkel An Application Example of a Run-Time Reconfigurable Embedded System. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1M. Ashfaquzzaman Khan, Richard Neil Pittman, Alessandro Forin gNOSIS: A Board-Level Debugging and Verification Tool. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shady O. Agwa, Hany H. Ahmad, Awad I. Saleh Hardware Pessimistic Run-Time Profiling for a Self-Reconfigurable Embedded Processor Architecture. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Oliver Sander, Benjamin Glas, Lars Braun, Klaus D. Müller-Glaser, Jürgen Becker 0001 Intrinsic Identification of Xilinx Virtex-5 FPGA Devices Using Uninitialized Parts of Configuration Memory Space. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yohei Hori, Takahiro Yoshida, Toshihiro Katashita, Akashi Satoh Quantitative and Statistical Performance Evaluation of Arbiter Physical Unclonable Functions on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Miaoqing Huang, David Andrews 0001, Jason Agron Operating System Structures for Multiprocessor Systems on Programmable Chip. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Wendi Wang, Bo Duan, Chunming Zhang, Peiheng Zhang, Ninghui Sun Accelerating 2D FFT with Non-Power-of-Two Problem Size on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bernhard Jungk, Steffen Reith On FPGA-Based Implementations of the SHA-3 Candidate Grøstl. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marco Antonio Soto Hernandez, Oscar Alvarado Nava, Francisco Javier Zaragoza Martínez Huffman Coding-Based Compression Unit for Embedded Systems. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hamid Mushtaq, Mojtaba Sabeghi, Koen Bertels A Runtime Profiler: Toward Virtualization of Polymorphic Computing Platforms. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mieczyslaw Jessa, Michal Jaworski High-Speed FPGA-Based Pseudorandom Generators with Extremely Long Periods. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1David Pedrosa Branco, Iouliia Skliarova, José Vieira 0001 Reconfigurable Digital Audio Mixer for Electroacoustic Music. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mingjie Lin, Shaoyi Cheng, John Wawrzynek Cascading Deep Pipelines to Achieve High Throughput in Numerical Reduction Operations. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1A. D. Santana Gil, José Ignacio Benavides Benítez, Manuel Hernandez Calviño, Ezequiel Herruzo Gomez Reconfigurable Cache Implemented on an FPGA. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shaunak Shah, Rajesh Velegalati, Jens-Peter Kaps, David Hwang Investigation of DPA Resistance of Block RAMs in Cryptographic Implementations on FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Luis Vitório Cargnini, Yoann Guillemenet, Lionel Torres, Gilles Sassatelli Improving the Reliability of a FPGA Using Fault-Tolerance Mechanism Based on Magnetic Memory (MRAM). Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mariusz Grad, Christian Plessl Pruning the Design Space for Just-in-Time Processor Customization. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sascha Mühlbach, Andreas Koch 0001 A Dynamically Reconfigured Network Platform for High-Speed Malware Collection. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kamana Sigdel, Carlo Galuzzi, Koen Bertels, Mark Thompson 0001, Andy D. Pimentel Runtime Task Mapping Based on Hardware Configuration Reuse. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ali Reza Akoushideh, Asadollah Shahbahrami Accelerating Texture Features Extraction Algorithms Using FPGA Architecture. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sasmita Deo Power Consumption Calculation of AP-DCD Algorithm Using FPGA Platform. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tom Davidson, Karel Bruneel, Dirk Stroobandt Run-Time Reconfiguration for Automatic Hardware/Software Partitioning. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Lubos Gaspar, Viktor Fischer, Florent Bernard, Lilian Bossuet, Pascal Cotret HCrypt: A Novel Concept of Crypto-processor with Secured Key Management. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicolas Hebert, Gabriel Marchesan Almeida, Pascal Benoit, Gilles Sassatelli, Lionel Torres A Cost-Effective Solution to Increase System Reliability and Maintain Global Performance under Unreliable Silicon in MPSoC. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cristinel Ababei Efficient Congestion-Oriented Custom Network-on-Chip Topology Synthesis. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rui A. L. de Cristo, Ricardo P. Jasinski, Volnei A. Pedroni Analysis and Preliminary Measurements of Radiated Emissions in an Asynchronous Circuit versus its Synchronous Counterpart. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ilia A. Lebedev, Shaoyi Cheng, Austin Doupnik, James C. Martin, Christopher W. Fletcher, Daniel Burke, Mingjie Lin, John Wawrzynek MARC: A Many-Core Approach to Reconfigurable Computing. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marco A. Moreno-Armendáriz, Nareli Cruz Cortés, Alejandro León-Javier A Novel Hardware Implementation of the Compact Genetic Algorithm. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Eduardo Romero-Aguirre, Ramón Parra-Michel, Omar Humberto Longoria-Gandara, M. Aguirre-Hernandez A Hardware-Efficient Frequency Domain Correlator Architecture for Acquisition Stage in GPS. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fadi El-Hassan, Dan Ionescu A Hardware Architecture of an XML/XPath Broker for Content-Based Publish/Subscribe Systems. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marco A. Moreno-Armendáriz, Elsa Rubio, César A. Pérez-Olvera Design and Implementation of a Visual Fuzzy Control in FPGA for the Ball and Plate System. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ling Liu, Oleksii Morozov A Process-Oriented Streaming System Design Paradigm for FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Viktor K. Prasanna, Jürgen Becker 0001, René Cumplido (eds.) ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 13-15 December 2010, Proceedings Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  BibTeX  RDF
1Bruno A. Silva, Maurício Acconcia Dias, Jorge L. Silva, Fernando Santos Osório Genetic Algorithms and Artificial Neural Networks to Combinational Circuit Generation on Reconfigurable Hardware. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Aric Schorr, Marcin Lukowiak Skein Tree Hashing on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Stephen D. Craven, Daniel Long, Jason Smith Open Source Precision Timed Soft Processor for Cyber Physical System Applications. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ronald Hurtado-Velasco, Sadek Crisóstomo Absi Alfaro, Carlos H. Llanos FPGA-Based Platform Development for Change Detection in GTAW Welding Process. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mark E. Dunham, Zachary K. Baker, Matthew Stettler, Michael Pigue, Paul S. Graham, Eric N. Schmierer, John Power High Efficiency Space-Based Software Radio Architectures: A Minimum Size, Weight, and Power TeraOps Processor. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF satellite hardware, FPGA, reconfigurable hardware, software-defined radio
1Malte Baesler, Thomas Teufel FPGA Implementation of a Decimal Floating-Point Accurate Scalar Product Unit with a Parallel Fixed-Point Multiplier. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF decimal multiplier, IEEE 754-2008, accurate scalar product, FPGA, floating point
1Tobias Schumacher 0001, Tim Süß, Christian Plessl, Marco Platzner Communication Performance Characterization for Reconfigurable Accelerator Design on the XD1000. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Leonard Colavito, Dennis Silage Composite Look-Up Table Gaussian Pseudo-Random Number Generator. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Gaussian, Pseudo-Random Number
1Eduardo Cabal-Yepez, Roque Alfredo Osornio-Rios, René de Jesús Romero-Troncoso, J. R. Razo-Hernandez, R. Lopez-Garcia FPGA-Based Online Induction Motor Multiple-Fault Detection with Fused FFT and Wavelet Analysis. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Wavelet, FFT, Vibration, Induction motor, Multiple-fault detection, Current
1Laurent Gantel, Salah Layouni, Mohamed El Amine Benkhelifa, François Verdier, Stéphanie Chauvet Multiprocessor Task Migration Implementation in a Reconfigurable Platform. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RTOS for embedded platforms, Dynamic and partial reconfigurable systems, FPGA, MPSoC, Task migration
1Çaglar Yilmaz, Mustafa Gök An Optimized System for Multiple Sequence Alignment. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ClustalW, Hardware, Multiple Sequence Alignment
1Brian Baldwin, William P. Marnane, Robert Granger Reconfigurable Hardware Implementation of Arithmetic Modulo Minimal Redundancy Cyclotomic Primes for ECC. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Minimal Redundancy Cyclotomic Primes, Elliptic Curve Cryptography, Modular Multiplication
1Mark G. Arnold, Jung H. Cho Parallax-Docking and Reconfiguration of Field Programmable Robot Arrays Using an Intermittently-Powered One-Hot Controller. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SDA, reconfigurable micro-robot, one-hot, MEMS
1Alireza Rohani, Hamid R. Zarandi A New CLB Architecture for Tolerating SEU in SRAM-Based FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dependability, FPGA-Test
1Javier Soto Vargas, Juan Manuel Moreno, Jordi Madrenas, Joan Cabestany Implementation of a Dynamic Fault-Tolerance Scaling Technique on a Self-Adaptive Hardware Architecture. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF selforganization, self-placement, dynamic fault tolerance, self-adaptive, self-configuration, self-routing
1Julien Bringer, Hervé Chabanne, Jean-Luc Danger Protecting the NOEKEON Cipher against SCARE Attacks in FPGAs by Using Dynamic Implementations. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SCARE attacks, white-box cryptography, FPGA
1Marcio Juliato, Catherine H. Gebotys Tailoring a Reconfigurable Platform to SHA-256 and HMAC through Custom Instructions and Peripherals. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Processor Specialization, SHA-2, Cryptography, HMAC, HW/SW Partitioning, Co-Processor, Custom Instruction
1Sergio Ruben Geninatti, José Ignacio Benavides Benítez, Manuel Hernandez Calviño, Nicolás Guil Mata, Juan Gómez-Luna FPGA Implementation of the Generalized Hough Transform. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF concurrent calculation, FPGA, edge detection, video analysis, Hough Transform, video segmentation
1Mathieu Allard, Patrick Grogan, Jean-Pierre David A Scalable Architecture for Multivariate Polynomial Evaluation on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF High performance computing, ASIP, multivariate, Polynomial evaluation
1Ming Liu 0011, Zhonghai Lu, Wolfgang Kuehn, Shuo Yang, Axel Jantsch A Reconfigurable Design Framework for FPGA Adaptive Computing. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware process scheduling, hardware context switching, partial reconfiguration, adaptive computing
1Johann Großschädl, Erkay Savas, Kazim Yumbul Realizing Arbitrary-Precision Modular Multiplication with a Fixed-Precision Multiplier Datapath. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Bit-serial multiplier architecture, Scalability, Montgomery modular multiplication
1Jochen Strunk, Toni Volkmer, Wolfgang Rehm, Heiko Schick Design and Performance of a Grid of Asynchronously Clocked Run-Time Reconfigurable Modules on a FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous FIFO, FPGA, grid, dynamic reconfiguration, NoC, run-time reconfiguration
1Vitor de Paulo, Cristinel Ababei A Framework for 2.5D NoC Exploration Using Homogeneous Networks over Heterogeneous Floorplans. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Homogeneous Network-on-Chip, heterogeneous floorplan, 3D circuits
1Fritz Mayer-Lindenberg High-Level FPGA Programming through Mapping Process Networks to FPGA Resources. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Panasayya Yalla, Jens-Peter Kaps Lightweight Cryptography for FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF HIGHT, FPGA, Present
1C. Yesid E. Castro, Carlos H. Llanos, Walter de Britto Vidal Filho, Leandro dos Santos Coelho Fuzzy Control for Cyclist Robot Stability Using FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC (System On Chip), harward/software codesign, fuzzy logic, embedded processor
1Lev Kirischian, Victor Dumitriu, Pil Woo Chun Virtualization of Computing Resources in RCS for Multi-task Stream Applications. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtual component, component relocation, on-chip assembly, FPGA, Reconfigurable systems, Run-time reconfiguration (RTR), Virtual processor, Resources virtualization
1Mark Hamilton, William P. Marnane FPGA Implementation of an Elliptic Curve Processor Using the GLV Method. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF GLV method, Hiasat Multiplier, Elliptic curve processor, FPGA, Mersenne prime
1Mondrian Nüssle, Benjamin Geib, Holger Fröning, Ulrich Brüning 0001 An FPGA-Based Custom High Performance Interconnection Network. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hypertransport, network, interconnect, switching, crossbar
1Hung-Manh Pham, Sébastien Pillement, Didier Demigny A Fault-Tolerant Layer for Dynamically Reconfigurable Multi-processor System-on-Chip. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 900 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license