The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-SOC"( http://dblp.L3S.de/Venues/VLSI-SOC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ifip10-5

Publication years (Num. hits)
2001 (39) 2002-2003 (80) 2005 (21) 2006 (76) 2007 (62) 2009-2010 (85) 2011 (84) 2012 (61) 2013 (83) 2014 (45) 2015 (65) 2016 (50) 2017 (48) 2018 (50) 2019 (65) 2020 (42) 2021 (45) 2022 (91) 2023 (52)
Publication types (Num. hits)
inproceedings(1124) proceedings(20)
Venues (Conferences, Journals, ...)
VLSI-SoC(1144)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1144 publication records. Showing 1144 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Weisheng Zhao, Jacques-Olivier Klein, Zhaohao Wang, Yue Zhang 0010, Nesrine Ben Romdhane, Damien Querlioz, Dafine Ravelosona, Claude Chappert Spin-electronics based logic fabrics. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stephen Richardson, Ofer Shacham, Dejan Markovic, Mark Horowitz An area-efficient minimum-time FFT schedule using single-ported memory. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the development of diagnostic test programs for VLIW processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu Zhang, Gong Chen 0002, Qing Dong 0002, Mingyu Li, Shigetoshi Nakatake Performance-driven SRAM macro design with parameterized cell considering layout-dependent effects. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sébastien Le Beux, Zhen Li 0046, Christelle Monat, Xavier Letartre, Ian O'Connor Reconfigurable photonic switching: Towards all-optical FPGAs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1H. Fatih Ugurdag, Fatih Temizkan, Sezer Gören 0001 Generating fast logic circuits for m-select n-port Round Robin Arbitration. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christoph Thomas Muller, Steffen Malkowsky, Oskar Andersson, Babak Mohammadi, Jens Sparsø, Joachim Neves Rodrigues A 65-nm CMOS area optimized de-synchronization flow for sub-VT designs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Amir Hossein Ashouri, Vittorio Zaccaria, Sotirios Xydis, Gianluca Palermo, Cristina Silvano A framework for Compiler Level statistical analysis over customized VLIW architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ioannis Vourkas, Dimitrios Stathis 0001, Georgios Ch. Sirakoulis Improved read voltage margins with alternative topologies for memristor-based crossbar memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bharath Sudev, Leandro Soares Indrusiak PFT - A low overhead predictability enhancement technique for non-preemptive NoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Baris Özgül, Jan Langer, Juanjo Noguera, Kees A. Vissers Software-programmable digital pre-distortion on the Zynq SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Seogoo Lee, Andreas Gerstlauer Fine grain word length optimization for dynamic precision scaling in DSP systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohsen Hassanpourghadi, Mohammad Sharifkhani Step response analysis of third order OpAmps With slew-rate. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vikas S. Vij, Kenneth S. Stevens Automatic addition of reset in asynchronous sequential control circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Giray Kömürcü, Ali Emre Pusane, Günhan Dündar Analysis of Ring Oscillator structures to develop a design methodology for RO-PUF circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Antonio Filgueras, Eduard Gil, Carlos Álvarez 0001, Daniel Jiménez-González, Xavier Martorell, Jan Langer, Juanjo Noguera Heterogeneous tasking on SMP/FPGA SoCs: The case of OmpSs and the Zynq. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuan Ren, Tobias G. Noll An accurate power estimation model for low-power hierarchical-architecture SRAMs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sk Subidh Ali, Ozgur Sinanoglu, Samah Mohamed Saeed, Ramesh Karri New scan-based attack using only the test mode. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Janusz Rajski, Miodrag Potkonjak, Adit D. Singh, Abhijit Chatterjee, Zain Navabi, Matthew R. Guthaus, Sezer Gören 0001 Embedded tutorials: Embedded tutorial 1: Cell-aware test-from gates to transistors. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Antonio Artés, José Luis Ayala, Robert Fasthuber, Praveen Raghavan, Francky Catthoor Energy impact in the design space exploration of loop buffer schemes in embedded systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nathaniel A. Conos, Saro Meguerdichian, Miodrag Potkonjak Gate sizing in the presence of gate switching activity and input vector control. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hussein Adel, Marie-Minerve Louërat, Marc Sabut Design considerations for low gain amplifier in the MDAC of digitally calibrated pipelined ADCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Isil Öz, Haluk Rahmi Topcuoglu, Mahmut T. Kandemir, Oguz Tosun Examining Thread Vulnerability analysis using fault-injection. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Leandro Nunes, Tiago Reimann, Ricardo Reis 0001 GR-PA: A cost pre-allocation model for global routing. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nicoleta Cucu Laurenciu, Yao Wang 0002, Sorin Dan Cotofana A direct measurement scheme of amalgamated aging effects with novel on-chip sensor. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arezoo Kamran, Zainalabedin Navabi Online periodic test mechanism for homogeneous many-core processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Amr M. S. Tosson, Siddharth Garg, Mohab H. Anis Tagged probabilistic simulation based error probability estimation for better-than-worst case circuit design. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Po-Hao Wang, Wei-Chung Cheng, Yung-Hui Yu, Tang-Chieh Kao, Chi-Lun Tsai, Pei-Yao Chang, Tay-Jyi Lin, Jinn-Shyan Wang, Tien-Fu Chen Variation-aware and adaptive-latency accesses for reliable low voltage caches. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chien-Min Lee, Chi-Kang Chen, Ren-Song Tsay A basic-block power annotation approach for fast and accurate embedded software power estimation. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christopher W. Fletcher, Rachael Harding, Omer Khan, Srinivas Devadas A framework to accelerate sequential programs on homogeneous multicores. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kemal Ozanoglu, Selçuk Talay Effects of the positive feedback loop in self biased bandgap reference circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kaushik Triyambaka Mysur, Mihai Pricopi, Thomas Marconi, Tulika Mitra Implementation of core coalition on FPGAs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ricardo Povoa, Nuno Lourenço 0003, Nuno Horta, Rui Santos-Tavares, João Goes Single-stage amplifiers with gain enhancement and improved energy-efficiency employing voltage-combiners. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dong Xiang, Gang Liu, Krishnendu Chakrabarty, Hideo Fujiwara Thermal-aware test scheduling for NOC-based 3D integrated circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eric Guthmuller, Ivan Miro Panades, Alain Greiner Architectural exploration of a fine-grained 3D cache for high performance in a manycore context. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sotiris Thomas, Kyprianos Papadimitriou, Apostolos Dollas Architecture and implementation of real-time 3D stereo vision on a Xilinx FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Radu David, Paul Bogdan, Radu Marculescu Dynamic power management for multicores: Case study using the intel SCC. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hamed Tabkhi, Gunar Schirner ARRA: Application-guided reliability-enhanced registerfile architecture for embedded processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christina Gimmler-Dumont, Christian Brehm, Norbert Wehn Reliability study on system memories of an iterative MIMO-BICM system. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jeremy Constantin, Ahmed Yasir Dogan, Oskar Andersson, Pascal Andreas Meinerzhagen, Joachim Neves Rodrigues, David Atienza, Andreas Burg TamaRISC-CS: An ultra-low-power application-specific processor for compressed sensing. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andreas Minwegen, Dominik Auras, Gerd Ascheid A multimode decision-directed channel estimation ASIC for MIMO-OFDM. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Qiuling Zhu, Larry T. Pileggi, Franz Franchetti Cost-effective smart memory implementation for parallel backprojection in computed tomography. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bin Wu, Peng Li 0001 Load-aware stochastic feedback control for DVFS with tight performance guarantee. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anton Tsepurov, Gunter Bartsch, Rainer Dorsch, Maksim Jenihhin, Jaan Raik, Valentin Tihhomirov A scalable model based RTL framework zamiaCAD for static analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kyungsu Kang, Luca Benini, Giovanni De Micheli A high-throughput and low-latency interconnection network for multi-core Clusters with 3-D stacked L2 tightly-coupled data memory. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abhishek Guar, Hamid Mahmoodi Impact of technology scaling on performance of domino logic in nano-scale CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Victor Frederico Silva, Cantidio de Oliveira Fontes, Flávio Rech Wagner The impact of synchronization in message passing while scaling multi-core MPSoC systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Niket K. Choudhary, Brandon H. Dwiel, Eric Rotenberg A physical design study of fabscalar-generated superscalar cores. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andy Motten, Luc Claesen, Yun Pan Trinocular disparity processor using a hierarchic classification structure. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yuki Nishitani, Kazuki Inoue, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi Evaluation of fault tolerant technique based on homogeneous FPGA architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michael Muehlberghuber, Christoph Keller, Norbert Felber, Christian Pendl 100 Gbit/s authenticated encryption based on quantum key distribution. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cristiano Thiele, Bruno Boessio Vizzotto, André L. M. Martinez, Vagner Santos Da Rosa, Sergio Bampi A low-cost and high efficiency entropy encoder architecture for H.264/AVC. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Farhad Alibeygi Parsan, Scott C. Smith CMOS implementation of static threshold gates with hysteresis: A new approach. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Le Zheng, Sangho Shin, Sung-Mo Steve Kang Design of a neural stimulator system with closed-loop charge cancellation. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mingyang Zhu, Jinho Lee, Kiyoung Choi An adaptive routing algorithm for 3D mesh NoC with limited vertical bandwidth. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Krishna Chaitanya Nunna, Farhad Mehdipour, Masayoshi Yoshimura, Kazuaki J. Murakami Methodology for early estimation of hierarchical routing resources in 3D FPGAs. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Haven Blake Skinner, Xuchu Hu, Matthew R. Guthaus Harmonic resonant clocking. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Srinivas Katkoori, Matthew R. Guthaus, Ayse K. Coskun, Andreas Burg, Ricardo Reis 0001 (eds.) 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012 Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  BibTeX  RDF
1Giulia Beanato, Igor Loi, Giovanni De Micheli, Yusuf Leblebici, Luca Benini 3D-LIN: A configurable low-latency interconnect for multi-core clusters with 3D stacked L1 memory. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Takeshi Matsumoto, Shohei Ono, Masahiro Fujita An efficient method to localize and correct bugs in high-level designs using counterexamples and potential dependence. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shilpa Pendyala, Srinivas Katkoori Interval arithmetic based input vector control for RTL subthreshold leakage minimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jie Meng, Fulya Kaplan, Ming-yu Hsieh, Ayse K. Coskun Topology-aware reliability optimization for multiprocessor systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Using asymmetric layer repair capability to reduce the cost of yield enhancement in 3D stacked memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fernando Corinto, Alon Ascoli, Marco Gilli A novel elementary memristive system. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Stefano Pietri, Chris Dao, Juxiang Ren, Jehoda Refaeli, Alfredo Olmos Safety oriented automotive MCU power management. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Daniel T. Grissom, Kenneth O'Neal, Benjamin Preciado, Hiral Patel, Robert Doherty, Nick Liao, Philip Brisk A digital microfluidic biochip synthesis framework. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kenneth O'Neal, Daniel T. Grissom, Philip Brisk Force-Directed List Scheduling for Digital Microfluidic Biochips. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sandro Belfanti, Christian Benkeser, Karim Badawi, Qiuting Huang, Andreas Burg Successive interference cancellation for 3G downlink: Algorithm and VLSI architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthew R. Guthaus Welcome from the general chair. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ilias Pappas 0001, Vasilios Kalenteridis, Stylianos Siskos, Spiridon Vlassis A complete over-current/short-circuit protection system for Low-Drop Out regulators. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anelise Kologeski, Caroline Concatto, Fernanda Lima Kastensmidt, Luigi Carro ATARDS: An adaptive fault-tolerant strategy to cope with massive defects in Network-on-Chip interconnections. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sebastian Steinhorst, Lars Hedrich Equivalence checking of nonlinear analog circuits for hierarchical AMS System Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Surya Sharma, Trond Ytterdal Low noise front-end amplifier design for medical ultrasound imaging applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Advait Madhavan, Dmitri B. Strukov Mapping of image and network processing tasks on high-throughput CMOL FPGA circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Neil Di Spigna, Daniel Schinke, Srikant Jayanti, Veena Misra, Paul D. Franzon A novel double floating-gate unified memory device. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gregory G. Faust, Runjie Zhang, Kevin Skadron, Mircea R. Stan, Brett H. Meyer ArchFP: Rapid prototyping of pre-RTL floorplans. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hamid Mahmoodi Reliability enhancement of power gating transistor under time dependent dielectric breakdown. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jongpil Jung, Kyungsu Kang, Jae-Jin Lee, Youngjun Yoon, Chong-Min Kyung Cost-effective TSV redundancy configuration. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1K. T. Hafeez, Ashudeb Dutta, Shiv Govind Singh Efficient adaptive switch design for charge pumps in micro-scale energy harvesting. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ignatius Bezzam, Shoba Krishnan, Chakravarthy Mathiazhagan Low power SoCs with resonant dynamic logic using inductors for energy recovery. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chirag Ravishankar, Andrew A. Kennings, Jason Helge Anderson FPGA power reduction by guarded evaluation considering physical information. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Seokjoong Kim, Matthew R. Guthaus Dynamic voltage scaling for SEU-tolerance in low-power memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ligang Gao, Fabien Alibart, Dmitri B. Strukov Analog-input analog-weight dot-product operation with Ag/a-Si/Pt memristive devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhibin Xiao, Bevan M. Baas A hexagonal shaped processor and interconnect topology for tightly-tiled many-core architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Avijit Dutta Low cost adjacent double error correcting code with complete elimination of miscorrection within a dispersion window for Multiple Bit Upset tolerant memory. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hamed Sajjadi Kia, Cristinel Ababei A new reliability evaluation methodology and its application to network-on-chip routers. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sohail Asghar, Rocío del Río, José M. de la Rosa 0001 A 0.2-to-2MHz BW, 50-to-86dB SNDR, 16-to-22mW flexible 4th-order ΣΔ modulator with DC-to-44MHz tunable center frequency in 1.2-V 90-nm CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christian Benkeser, Christoph Roth, Qiuting Huang Turbo decoder design for high code rates. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tasreen Charania, Pierce Chuang, Ajoy Opal, Manoj Sachdev Suppression of on-chip power supply noise generated by a 64-bit static logic ALU block. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Haroon Mahmood, Massimo Poncino, Mirko Loghi, Enrico Macii Aging-aware caches with graceful degradation of performance. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pierre Greisen, Richard Emler, Michael Schaffner, Simon Heinzle, Frank K. Gürkaynak A general-transformation EWA view rendering engine for 1080p video in 130 nm CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ravi Patel 0001, Eby G. Friedman Arithmetic encoding for memristive multi-bit storage. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anupam Chattopadhyay, Goutam Paul 0001 Exploring security-performance trade-offs during hardware accelerator design of stream cipher RC4. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pierre-Emmanuel Gaillardon, Davide Sacchetto, Shashikanth Bobba, Yusuf Leblebici, Giovanni De Micheli GMS: Generic memristive structure for non-volatile FPGAs. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rajsaktish Sankaranarayanan, Matthew R. Guthaus A single-VDD ultra-low energy sub-threshold FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaspal Singh Shah, David Nairn, Manoj Sachdev A soft error robust 32kb SRAM macro featuring access transistor-less 8T cell in 65-nm. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the optimized generation of Software-Based Self-Test programs for VLIW processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tadahiro Kuroda ThruChip interface (TCI) for 3D networks on chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Caroline Concatto, Anelise Kologeski, Luigi Carro, Fernanda Lima Kastensmidt, Gianluca Palermo, Cristina Silvano Two-levels of adaptive buffer for virtual channel router in NoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Oliver Mitea, Markus Meissner, Lars Hedrich Topology synthesis of analog circuits with yield optimization and evaluation using pareto fronts. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1144 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license