The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSID"( http://dblp.L3S.de/Venues/VLSID )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vlsid

Publication years (Num. hits)
2014 (110) 2015 (103) 2016 (132) 2017 (70) 2018 (91) 2019 (112) 2020 (46) 2021 (58) 2022 (54) 2023 (72) 2024 (125)
Publication types (Num. hits)
inproceedings(962) proceedings(11)
Venues (Conferences, Journals, ...)
VLSID(973)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 973 publication records. Showing 973 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Prasenjit Biswas, D. M. H. Walker Improved Path Recovery in Pseudo Functional Path Delay Test Using Extended Value Algebra. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Manish Gupta, Abhinav Kranti Suppressing Single Transistor Latch Effect in Energy Efficient Steep Switching Junctionless MOSFETs. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shrinidhi Udupi, Joakim Urdahl, Dominik Stoffel, Wolfgang Kunz Dynamic Power Optimization Based on Formal Property Checking of Operations. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sudipa Mandal, Antonio Anastasio Bruto da Costa, Aritra Hazra, Pallab Dasgupta, Bhushan Naware, Chunduri Rama Mohan, Sanjib Basu Formal Verification of Power Management Logic with Mixed-Signal Domains. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bhawani Shankar, Ankit Soni, Manikant Singh, Rohith Soman, K. N. Bhat, Srinivasan Raghavan 0002, Navakanta Bhat, Mayank Shrivastava ESD Behavior of AlGaN/GaN HEMT on Si: Physical Insights, Design Aspects, Cumulative Degradation and Failure Analysis. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ishan G. Thakkar, Sudeep Pasricha DyPhase: A Dynamic Phase Change Memory Architecture with Symmetric Write Latency. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Naveen Kadayinti, Maryam Shojaei Baghini, Dinesh Kumar Sharma A Clock Retiming Circuit for Repeaterless Low Swing On-Chip Interconnects. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Javed S. Gaggatur, Immanuel Raja, Gaurab Banerjee On-Chip Non-intrusive Temperature Detection and Compensation of a Fully Integrated CMOS RF Power Amplifier. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Anjali Gopinath, Ravi Kumar Adusumalli, Veeresh Babu Vulligaddala, M. B. Srinivas A Switched-Capacitor Amplifier with True Rail-to-Rail Input Range without Using a Rail-to-Rail Op-Amp. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mahesh Zanwar, Subhajit Sen Programmable Output Multi-phase Switched Capacitor Step-Up DC-DC Converter with SAR-based Regulation. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1R. R. Manikandan, Venkata Narayana Rao Vanukuru A High Performance Switchable Multiband Inductor Structure for LC-VCOs. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nihar Hage, Rohini Gulve, Masahiro Fujita, Virendra Singh On Testing of Superscalar Processors in Functional Mode for Delay Faults. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kajal Varma, Geeta Patil, Biju K. Raveendran DTLB: Deterministic TLB for Tightly Bound Hard Real-Time Systems. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rajesh Kedia, K. K. Yoosuf, Pappireddy Dedeepya, Munib Fazal, Chetan Arora 0001, M. Balakrishnan MAVI: An Embedded Device to Assist Mobility of Visually Impaired. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiayin Li, Kartik Mohanram Virtual Two-Port Memory Architecture for Asymmetric Memory Technologies. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Javed S. Gaggatur, Gaurab Banerjee High Gain Capacitance Sensor Interface for the Monitoring of Cell Volume Growth. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sukarn Agarwal, Hemangee K. Kapoor Towards a Better Lifetime for Non-volatile Caches in Chip Multiprocessors. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bibin Johnson, Nimin Thomas, J. Sheeba Rani An FPGA Based High throughput Discrete Kalman Filter Architecture for Real-Time Image Denoising. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Antara Ain, Akshay Mambakam, Pallab Dasgupta, Siddhartha Mukhopadhyay Feature Based Identification of Transmission Line Faults by Synchronous Monitoring of PMUs. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Debasri Saha, Susmita Sur-Kolay Multi-objective Optimization of Placement and Assignment of TSVs in 3D ICs. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1N. Nalla Anandakumar, Mohammad S. Hashmi, Somitra Kumar Sanadhya Compact Implementations of FPGA-based PUFs with Enhanced Performance. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sumit Naikwad, Murali Krishna Rajendran, Priya Sunil, Ashudeb Dutta A Single Inductor, Single Input Dual Output (SIDO) Piezoelectric Energy Harvesting System. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Deepak Joshi, Satyabrata Dash, Ayush Malhotra, Pulimi Venkata Sai, Rahul Das, Dikshit Sharma, Gaurav Trivedi Optimization of 2.4 GHz CMOS Low Noise Amplifier Using Hybrid Particle Swarm Optimization with Lévy Flight. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Y. V. Bhuvaneshwari, Abhinav Kranti Extraction and Analysis of Mobility in Double Gate Junctionless Transistor. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Poorvi Jain, Bishnu Prasad Das Within-Die Threshold Voltage Variability Estimation Using Reconfigurable Ring Oscillator. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vinay Kumar, Nikhil Puri, Sudhir Kumar 0002, Sumit Srivastav A Sub-0.5V Reliability Aware-Negative Bitline Write-Assisted 8T DP-SRAM and WL Strapping Novel Architecture to Counter Dual Patterning Issues in 10nm FinFET. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sangeetha Damotharasamy, P. Deepa Efficient Scale Invariant Human Detection Using Histogram of Oriented Gradients for IoT Services. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Antonio Anastasio Bruto da Costa, Pallab Dasgupta Generating AMS Behavioral Models with Formal Guarantees on Feature Accuracy. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Neel Gala, Arjun Menon, Rahul Bodduna, G. S. Madhusudan, V. Kamakoti 0001 SHAKTI Processors: An Open-Source Hardware Initiative. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farhad Merchant, Nimash Choudhary, S. K. Nandy 0001, Ranjani Narayan Efficient Realization of Table Look-Up Based Double Precision Floating Point Arithmetic. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shouri Chatterjee, Mohd Tarique A 100-nW Sensitive RF-to-DC CMOS Rectifier for Energy Harvesting Applications. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dheeraj Kumar Sinha, Amitabh Chatterjee, Vishnuram Abhinav, Gaurav Trivedi, Victor Koldyaev A Novel Capacitorless DRAM Cell Design Using Band-Gap Engineered Junctionless Double-Gate FET. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ashish Kumar Pradhan, Soumitra Kumar Nandy An Energy Efficient Dynamically Reconfigurable QR Decomposition for Wireless MIMO Communication. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Debjit Pal, Shobha Vasudevan Symptomatic Bug Localization for Functional Debug of Hardware Designs. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amit Chhabra, Vikas Rana -1.1V to +1.1V 3: 1 Power Switch Architecture for Controlling Body Bias of SRAM Array in 28nm UTBB CMOS FDSOI. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sonal Yadav, Vijay Laxmi, Manoj Singh Gaur A Power Efficient Dual Link Mesh NoC Architecture to Support Nonuniform Traffic Arbitration at Routing Logic. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Samarjit Chakraborty, S. Ramesh 0002 Technologies for Safe and Intelligent Transportation Systems. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ishan G. Thakkar, Sudeep Pasricha Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in Hybrid Memory Cube Architectures. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Badreyya Al Shehhi, Mihai Sanduleanu An 800µW Peak Power Consumption, 24GHz (K-Band), Super-Regenerative Receiver with 200p J/bit Energy Efficiency, for IoT. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shivam Swami, Kartik Mohanram E3R: Energy Efficient Error Recovery for Multi/Triple-Level Cell Non-volatile Memories. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chandan Yadav, Amit Agarwal 0007, Yogesh Singh Chauhan Analysis of Quantum Capacitance Effect in Ultra-Thin-Body III-V Transistor. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vinayaka Jyothi, Xueyang Wang, Sateesh Addepalli, Ramesh Karri BRAIN: BehavioR Based Adaptive Intrusion Detection in Networks: Using Hardware Performance Counters to Detect DDoS Attacks. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hrishikesh Jayakumar, Arnab Raha, Vijay Raghunathan Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in IoT Edge Devices. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Satyabrata Dash, Krishna Lal Baishnab, Gaurav Trivedi Applying River Formation Dynamics to Analyze VLSI Power Grid Networks. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nikil D. Dutt, Nima Taherinejad Self-Awareness in Cyber-Physical Systems. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bibhash Sen, Rajdeep Kumar Nath, Rijoy Mukherjee, Yashraj Sahu, Biplab K. Sikdar Towards Designing Reliable Universal QCA Logic in the Presence of Cell Deposition Defect. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anil Kumar Gundu, Mohammad S. Hashmi, Anuj Grover A New Sense Amplifier Topology with Improved Performance for High Speed SRAM Applications. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lokesh Garg, Vineet Sahula Accurate and Efficient Estimation of Dynamic Virtual Ground Voltage in Power Gated Circuits. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pradipta Roy, Prabir K. Biswas, Binoy Kumar Das A Modified Hill Climbing Based Watershed Algorithm and Its Real Time FPGA Implementation. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rajat Gupta, Vijit Gadi, H. Anirudh Upendar Write Assist Scheme to Enhance SRAM Cell Reliability Using Voltage Sensing Technique. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tomoya Akabe, Minoru Watanabe Reconfiguration Performance Recovery on Optically Reconfigurable Gate Arrays. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Manchi Pavan Kumar, Roy Paily, Anup Kumar Gogoi Design and Implementation of Low-Power Digital Baseband Transceivers for IEEE802.15.6 Standard. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Krishna Kanth Gowri Avalur, Syed Azeemuddin System Efficiency Improvement Technique for Automotive Power Management IC Using Maximum Load Current Selector Circuit. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1G. Cardoso Medeiros, Letícia Maria Bolzani Pöhls, Fabian Vargas 0001 Analyzing the Impact of SEUs on SRAMs with Resistive-Bridge Defects. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jacob A. Abraham, Abhijit Chatterjee Design of Self Calibrating and Error Resilient Mixed-Signal Systems for Signal Processing, Communications and Control. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Urbi Chatterjee, Rajat Subhra Chakraborty, Jimson Mathew, Dhiraj K. Pradhan Memristor Based Arbiter PUF: Cryptanalysis Threat and Its Mitigation. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arunkumar Vijayakumar, Vinay C. Patil, Sandip Kundu An Efficient Method for Clock Skew Scheduling to Reduce Peak Current. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vishnuram Abhinav, Dheeraj Kumar Sinha, Amitabh Chatterjee, Forrest Brewer A Novel Co-design Methodology for Optimizing ESD Protection Device Using Layout Level Approach. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Syed Ershad Ahmed, S. Sweekruth Srinivas, M. B. Srinivas A Hybrid Energy Efficient Digital Comparator. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pallavi Das, Jitendra Yadav, Sujay Deb Mixed Mode Simulation and Verification of SSCG PLL through Real Value Modeling. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jian Cai 0001, Aviral Shrivastava Software Coherence Management on Non-coherent Cache Multi-cores. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sai Vineel Reddy Chittamuru, Sudeep Pasricha SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Manas Kumar Lenka, Akash Agrawal, Vishal Khatri, Gaurab Banerjee A Wide-Band Receiver Front-End with Programmable Frequency Selective Input Matching. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sangeetha Damotharasamy, P. Deepa An Efficient Hardware Implementation of Canny Edge Detection Algorithm. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1A. Venkatareddy, Sithara Raveendran, Kumar Y. B. Nithin, M. H. Vasantha Characterization of a Novel Low Leakage Power and Area Efficient 7T SRAM Cell. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jungmin Park, Akhilesh Tyagi Security Metrics for Power Based SCA Resistant Hardware Implementation. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1R. G. Raghavendra, Balbeer Singh Rathor A 1-tap 10.3125Gb/s Programmable Voltage Mode Line Driver in 28nm CMOS Technology. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jeroen Bosmans, Sujoy Sinha Roy, Kimmo Järvinen 0001, Ingrid Verbauwhede A Tiny Coprocessor for Elliptic Curve Cryptography over the 256-bit NIST Prime Field. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kanad Basu, Subhadip Kundu Post-Silicon Validation and Diagnosis. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gaurav Saharawat, Praveen Shukla, Saurabh Jain, Subash Nayak Emulation - Smart Way of Power Estimation and Power Aware Verfication. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sarvesh Agarwal, S. K. Manhas, Sudeb Dasgupta, Neeraj Jain Metal Carbon Nanotube Schottky Barrier Diode with Detection of Polar Non-polar Gases. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kausik Datta, Goutam Kumar Bhaumik, Rohit Goel An Introduction to VHDL 2008. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sikhar Patranabis, Debapriya Basu Roy, Debdeep Mukhopadhyay Using Tweaks to Design Fault Resistant Ciphers. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Felix Loh, Kewal K. Saluja, Parameswaran Ramanathan Fault Tolerance through Invariant Checking for Iterative Solvers. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jayesh Wadekar, Biman Chattopadhyay, Ravi Mehta, Gopalkrishna Nayak A 0.5-4GHz Programmable-Bandwidth Fractional-N PLL for Multi-protocol SERDES in 28nm CMOS. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1N. B. Balamurugan, G. LakshmiPriya, S. Manikandan, G. Srimathi Analytical Modeling of Dual Material Gate All around Stack Architecture of Tunnel FET. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kewal K. Saluja Digital Testing - Basics to Advanced Research Issues. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shanthi Pavan, Nagendra Krishnapura Demystifying Time Varying Circuits and Systems. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sourindra Chaudhuri, Ajay N. Bhoj, Debajit Bhattacharya, Niraj K. Jha Fast FinFET Device Simulation under Process-Voltage Variations Using an Assisted Speed-Up Mechanism. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nazma Tara, Hafiz Md. Hasan Babu, Nawshi Matin Logic Synthesis in Reversible PLA. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sadulla Shaik, Kalva Sri Rama Krishna, Ramesh Vaddi Circuit and Architectural Co-design for Reliable Adder Cells with Steep Slope Tunnel Transistors for Energy Efficient Computing. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farhad Merchant, Tarun Vatwani, Anupam Chattopadhyay, Soumyendu Raha, S. K. Nandy 0001, Ranjani Narayan Achieving Efficient QR Factorization by Algorithm-Architecture Co-design of Householder Transformation. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jais Abraham, Shankar Umapathi, Sumitha Krishnamurthi Test Time Minimisation in Scan Compression Designs Using Dynamic Channel Allocation. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tsung-Yi Ho, Shigeru Yamashita, Ansuman Banerjee, Sudip Roy 0001 Design of Microfluidic Biochips: Connecting Algorithms and Foundations of Chip Design to Biochemistry and the Life Sciences. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Naren Das, Nirmalya Samanta, Chirosree Roy Chaudhuri Nanostructured Silicon Oxide Immunosensor Integrated with Noise Spectroscopy Electronics for POC Diagnostics. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sandeep Chandran, Eldhose Peter, Preeti Ranjan Panda, Smruti R. Sarangi A Generic Implementation of Barriers Using Optical Interconnects. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vaddi Chandra Sekhar, Satyajit Bora, Monalisa Dash, Manchi Pavan Kumar, S. Josephine, Roy Paily Design and Implementation of Blind Assistance System Using Real Time Stereo Vision Algorithms. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anuradha Annaswamy, Samarjit Chakraborty, Dip Goswami, S. Ramesh 0002, Marilyn Wolf Trustworthy Cyber Physical Systems. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sudarshan Srinivasan, Nithesh kurella, Israel Koren, Sandip Kundu Dynamic Reconfiguration vs. DVFS: A Comparative Study on Power Efficiency of Processors. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vikas Chauhan, Neel Gala, V. Kamakoti 0001 ChADD: An ADD Based Chisel Compiler with Reduced Syntactic Variance. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sesha Sairam Regulagadda, Purushothama Chary, Rizwan Shaik Peerla, Mohd Abdul Naseeb, Amit Acharyya, Pachamuthu Rajalakshmi, Ashudeb Dutta A 1.5mA, 2.4GHz ZigBee/BLE QLMVF Receiver Frond End with Split TCAs in 180nm CMOS. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ayan Palchaudhuri, Anindya Sundar Dhar Efficient Implementation of Scan Register Insertion on Integer Arithmetic Cores for FPGAs. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sandip Kundu, Omer Khan Efficient Error-Detection and Recovery Mechanisms for Reliability and Resiliency of Multicores. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Priyanka Mitra A Statistical Model for Hybrid Wireless Network on Chip. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mubin Ul Haque, Zarrin Tasnim Sworna, Hafiz Md. Hasan Babu An Improved Design of a Reversible Fault Tolerant LUT-based FPGA. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohd Anwar, Sourav Saha, Matthew M. Ziegler, Lakshmi N. Reddy Early Scenario Pruning for Efficient Design Space Exploration in Physical Synthesis. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zoran Stamenkovic SOC Design for Wireless Communications. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Harsh N. Patel, Farah B. Yahya, Benton H. Calhoun Improving Reliability and Energy Requirements of Memory in Body Sensor Networks. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1A. Anvar, Shreepad Karmalkar, R. Gokul, C. Akhil A Quasi-Static Model for the Coupling Impedance between Coplanar Rectangular Contacts on a Bulk Substrate. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rolf Drechsler, Jannis Stoppe Hardware/Software Co-Visualization on the Electronic System Level Using SystemC. Search on Bibsonomy VLSID The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 973 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license