The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for dvfs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Muhammad Khurram Bhatti, Cécile Belleudy, Michel Auguin Power Management in Real Time Embedded Systems through Online and Adaptive Interplay of DPM and DVFS Policies. Search on Bibsonomy EUC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Dongkeun Oh, Charlie Chung-Ping Chen, Nam Sung Kim, Yu Hen Hu The compatibility analysis of thread migration and DVFS in multi-core processor. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Andreas Genser, Christian Bachmann, Christian Steger, Reinhold Weiss, Josef Haid Power emulation based DVFS efficiency investigations for embedded systems. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Chengjian Wen, Jun He, Jiong Zhang, Xiang Long PCFS: Power Credit Based Fair Scheduler Under DVFS for Muliticore Virtualization Platform. Search on Bibsonomy GreenCom/CPSCom The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Andrea Alimonda, Salvatore Carta, Andrea Acquaviva, Alessandro Pisano, Luca Benini A Feedback-Based Approach to DVFS in Data-Flow Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jungsoo Kim, Sungjoo Yoo, Chong-Min Kyung Program phase and runtime distribution-aware online DVFS for combined Vdd/Vbb scaling. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alexander Wei Yin, Liang Guang, Ethiopia Nigussie, Pasi Liljeberg, Jouni Isoaho, Hannu Tenhunen Architectural Exploration of Per-Core DVFS for Energy-Constrained On-Chip Networks. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Vandy Berten, Chi-Ju Chang, Tei-Wei Kuo Managing Imprecise Worst Case Execution Times on DVFS Platforms. Search on Bibsonomy RTCSA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Diego Puschini, Fabien Clermidy, Pascal Benoit, Gilles Sassatelli, Lionel Torres Adaptive energy-aware latency-constrained DVFS policy for MPSoC. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Weihuang Wang, Gwan S. Choi, Kiran K. Gunnam Low-Power VLSI Design of LDPC Decoder Using DVFS for AWGN Channels. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Wan Yeon Lee Energy-Saving DVFS Scheduling of Multiple Periodic Real-Time Tasks on Multi-core Processors. Search on Bibsonomy DS-RT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Gregor von Laszewski, Lizhe Wang 0001, Andrew J. Younge, Xi He 0002 Power-aware scheduling of virtual machines in DVFS-enabled clusters. Search on Bibsonomy CLUSTER The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yuan Chen, Yu-Wei Lin, Yu-Chi Tsao, Chen-Yi Lee A 2.4-Gsample/s DVFS FFT Processor for MIMO OFDM Communication Systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Vandy Berten, Joël Goossens Multiprocessor Global Scheduling on Frame-Based DVFS Systems Search on Bibsonomy CoRR The full citation details ... 2008 DBLP  BibTeX  RDF
17Hugo Lebreton, Pascal Vivet Power Modeling in SystemC at Transaction Level, Application to a DVFS Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Masanao Yamaoka, Kenichi Osada, Takayuki Kawahara A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis. Search on Bibsonomy ESSCIRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ganesh S. Dasika, Shidhartha Das, Kevin Fan, Scott A. Mahlke, David M. Bull DVFS in loop accelerators using BLADES. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded systems, low power, high-level synthesis, voltage scaling, frequency scaling
17Masaaki Kondo, Hiroshi Sasaki 0001, Hiroshi Nakamura Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee 0001, Hoi-Jun Yoo A low-power handheld GPU using logarithmic arithmetic and triple DVFS power domains. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF handheld systems, low-power, GPU, hardware architecture, 3D computer graphics
13Carlos Santana, Julius C. B. Leite, Daniel Mossé Load forecasting applied to soft real-time web clusters. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF web server cluster, QoS, power management, energy consumption, dynamic configuration, load forecasting
13Ramon Bertran, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé Decomposable and responsive power models for multicore processors using performance counters. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power estimation, performance counters
13Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto 0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fine-grain control, low power, cache memory, microarchitecture, variation, low voltage
13Pramod Subramanyan, Virendra Singh, Kewal K. Saluja, Erik Larsson Energy-efficient redundant execution for chip multiprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF redundant execution, microarchitecture, transient faults, permanent faults
13Dean Truong, Bevan M. Baas Circuit modeling for practical many-core architecture design exploration. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic frequency and voltage scaling, control, many-core
13Cristinel Ababei Network on chip design and optimization using specialized influence models. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF VFI design style, optimization, network on chip, influence model
13Dong Hyuk Woo, Hsien-Hsin S. Lee PROPHET: goal-oriented provisioning for highly tunable multicore processors in cloud computing. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Asit K. Mishra, Reetuparna Das, Soumya Eachempati, Ravishankar R. Iyer 0001, Narayanan Vijaykrishnan, Chita R. Das A case for dynamic frequency tuning in on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Michael D. Powell, Arijit Biswas, Joel S. Emer, Shubhendu S. Mukherjee, Basit R. Sheikh, Shrirang M. Yardi CAMP: A technique to estimate per-structure power at run-time using a few simple parameters. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Jaehwan Kim, SungHwan Park, Jong-Wha Chong Peak power control algorithm for multi-processor SoC. Search on Bibsonomy ICUIMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF peak power control, low power, multi-core, task scheduling
13Sriram Govindan, Jeonghwan Choi, Bhuvan Urgaonkar, Anand Sivasubramaniam, Andrea Baldini Statistical profiling-based techniques for effective power provisioning in data centers. Search on Bibsonomy EuroSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power provisioning, power supply hierarchy, prediction, profiling, data center
13Jinho Suh, Michel Dubois 0001 Dynamic MIPS rate stabilization in out-of-order processors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ooo processors, real-time systems, embedded systems, stabilization, variability
13Yefu Wang, Kai Ma, Xiaorui Wang Temperature-constrained power control for chip multiprocessors with online model estimation. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, chip multiprocessor, feedback control
13Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage/frequency scaling, energy, temperature dependency
13Vinay Hanumaiah, Ravishankar Rao, Sarma B. K. Vrudhula, Karam S. Chatha Throughput optimal task allocation under thermal constraints for multi-core processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal throughput, task allocation, thermal management, multi-core processors, thread migration
13Wonbok Lee, Kimish Patel, Massoud Pedram GOP-Level Dynamic Thermal Management in MPEG-2 Decoding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Diego Puschini, Fabien Clermidy, Pascal Benoit, Gilles Sassatelli, Lionel Torres Convergence analysis of run-time distributed optimization on adaptive systems using game theory. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yong Dong, Juan Chen 0001, Xuejun Yang, Canqun Yang, Lin Peng Low Power Optimization for MPI Collective Operations. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Dong Li 0001, Hung-Ching Chang, Hari K. Pyla, Kirk W. Cameron System-level, thermal-aware, fully-loaded process scheduling. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Takayuki Imada, Mitsuhisa Sato, Yoshihiko Hotta, Hideaki Kimura 0003 Power management of distributed web savers by controlling server power state and traffic prediction for QoS. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Michael A. Baker, Viswesh Parameswaran, Karam S. Chatha, Baoxin Li Power reduction via macroblock prioritization for power aware H.264 video applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, video, h.264, voltage scaling, power aware, mpeg4, frequency scaling
13Arun Rangasamy, Rahul Nagpal, Y. N. Srikant Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dvs, dynamic energy, energy, multiple clock domains
13Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada, Tohru Ishihara A Generalized Framework for System-Wide Energy Savings in Hard Real-Time Embedded Systems. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Ümit Y. Ogras, Radu Marculescu, Diana Marculescu Variation-adaptive feedback control for networks-on-chip with multiple clock domains. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic voltage-frequency scaling, voltage-frequency island, networks-on-chip, MPSoC, feedback control, parameter variation
13Jung-Hi Min, Hojung Cha, Jongho Nang Energy management for interactive applications in mobile handheld systems. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WNIC, DVS, energy management, DPM
13Radu Teodorescu, Jun Nakano, Abhishek Tiwari 0002, Josep Torrellas Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Konrad Malkowski, Greg M. Link, Padma Raghavan, Mary Jane Irwin Load Miss Prediction - Exploiting Power Performance Trade-offs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Daisuke Miyakawa, Yutaka Ishikawa Process Oriented Power Management. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Sang Jeong Lee, Hae-Kag Lee, Pen-Chung Yew Runtime Performance Projection Model for Dynamic Power Management. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic Voltage-Frequency Scaling, Performance Monitoring, Dynamic Power Management
13Somnath Paul, Sivasubramaniam Krishnamurthy, Hamid Mahmoodi, Swarup Bhunia Low-overhead design technique for calibration of maximum frequency at multiple operating points. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF frequency calibration, voltage sensitivity, dynamic voltage and frequency scaling, ring oscillator
13Dakai Zhu 0001, Xuan Qi, Hakan Aydin Priority-monotonic energy management for real-time systems with reliability requirements. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Inchoon Yeo, Heung Ki Lee, Eun Jung Kim 0001, Ki Hwan Yum Effective Dynamic Thermal Management for MPEG-4 decoding. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Dakai Zhu 0001, Hakan Aydin Reliability-Aware Energy Management for Periodic Real-Time Tasks. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada A Software Framework for Energy and Performance Tradeoff in Fixed-Priority Hard Real-Time Embedded Systems. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Carlos Fernández, Rajkumar K. Raval, Chris J. Bleakley GALS SoC interconnect bus for wireless sensor network processor platforms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC bus, application specific bus, system on chip bus, WSN, wireless sensor network, low power, GALS
13Rong Ge 0002, Xizhou Feng, Wu-chun Feng, Kirk W. Cameron CPU MISER: A Performance-Directed, Run-Time System for Power-Aware Clusters. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Canturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose, Margaret Martonosi An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Rajarshi Mukherjee, Seda Ogrenci Memik Physical aware frequency selection for dynamic thermal management in multi-core systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic thermal management, multi-core system
13Dakai Zhu 0001, Hakan Aydin Energy management for real-time embedded systems with reliability requirements. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Juan Chen 0001, Huizhan Yi, Xuejun Yang, Liang Qian Compile-Time Energy Optimization for Parallel Applications in On-Chip Multiprocessors. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Antoni Portero, Guillermo Talavera, Marius Monton, Borja Martínez, Francky Catthoor, Jordi Carrabina Dynamic Voltage Scaling for Power Efficient MPEG4-SP Implementation. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13James Donald, Margaret Martonosi Techniques for Multicore Thermal Management: Classification and New Exploration. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #661 of 661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license