|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 28137 occurrences of 7496 keywords
|
|
|
Results
Found 33018 publication records. Showing 33018 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Hyesoon Kim, Onur Mutlu, Jared Stark, Yale N. Patt |
Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 43-54, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Onur Mutlu, Hyesoon Kim, Yale N. Patt |
Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 233-244, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Michelangelo Giansiracusa, Selwyn Russell, Andrew J. Clark, John Hynd |
An Improved Execution Integrity Solution for Mobile Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACISP ![In: Information Security and Privacy, 10th Australasian Conference, ACISP 2005, Brisbane, Australia, July 4-6, 2005, Proceedings, pp. 170-183, 2005, Springer, 3-540-26547-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Ki-Young Choi, Tae-Dong Lee, Chang-Sung Jeong |
RTI Execution Environment Using Open Grid Service Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part III, pp. 866-869, 2005, Springer, 3-540-26044-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | HariGovind V. Ramasamy, Adnan Agbaria, William H. Sanders |
Parsimony-Based Approach for Obtaining Resource-Efficient and Trustworthy Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LADC ![In: Dependable Computing, Second Latin-American Symposium, LADC 2005, Salvador, Brazil, October 25-28, 2005, Proceedings, pp. 206-225, 2005, Springer, 3-540-29572-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Jin Yang 0005, Jiannong Cao 0001, Weigang Wu, Cheng-Zhong Xu 0001 |
A Framework for Transactional Mobile Agent Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCC ![In: Grid and Cooperative Computing - GCC 2005, 4th International Conference, Beijing, China, November 30 - December 3, 2005, Proceedings, pp. 1002-1008, 2005, Springer, 3-540-30510-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Xiaodong Yi 0002, Ji Wang 0001, Xuejun Yang |
Verification of C Programs using Slicing Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Fifth International Conference on Quality Software (QSIC 2005), 19-20 September 2005, Melbourne, Australia, pp. 109-116, 2005, IEEE Computer Society, 0-7695-2472-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Kairong Zhang, Masahiro Nagamatu |
Solving Satisfiability Problem by Parallel Execution of Neural Networks with Biases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICANN (2) ![In: Artificial Neural Networks: Formal Models and Their Applications - ICANN 2005, 15th International Conference, Warsaw, Poland, September 11-15, 2005, Proceedings, Part II, pp. 969-974, 2005, Springer, 3-540-28755-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Yuriy Nevmyvaka, Michael J. Kearns, Amy Papandreou, Katia P. Sycara |
Electronic Trading in Order-Driven Markets: Efficient Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CEC ![In: 7th IEEE International Conference on E-Commerce Technology (CEC 2005), 19-22 July 2005, München, Germany, pp. 190-197, 2005, IEEE Computer Society, 0-7695-2277-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Satish Narayanasamy, Gilles Pokam, Brad Calder |
BugNet: Continuously Recording Program Execution for Deterministic Replay Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 284-295, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Shiyong Lu, Arthur J. Bernstein, Philip M. Lewis |
Correct Execution of Transactions at Different Isolation Levels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 16(9), pp. 1070-1081, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
transactions, correctness, serializability, Isolation levels |
16 | Daniel Kroening, Alex Groce, Edmund M. Clarke |
Counterexample Guided Abstraction Refinement Via Program Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFEM ![In: Formal Methods and Software Engineering, 6th International Conference on Formal Engineering Methods, ICFEM 2004, Seattle, WA, USA, November 8-12, 2004, Proceedings, pp. 224-238, 2004, Springer, 3-540-23841-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Violeta Felea, Bernard Toursel |
Adaptive Distributed Execution of Java Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 12th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2004), 11-13 February 2004, A Coruna, Spain, pp. 16-31, 2004, IEEE Computer Society, 0-7695-2083-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Alexander Maxiaguine, Simon Künzli 0001, Lothar Thiele |
Workload Characterization Model for Tasks with Variable Execution Demand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1040-1045, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Toshiyuki Okamura, Buntarou Shizuki, Jiro Tanaka |
Execution Visualization and Debugging in Three-Dimensional Visual Programmin. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 8th International Conference on Information Visualisation, IV 2004, 14-16 July 2004, London, UK, pp. 167-172, 2004, IEEE Computer Society, 0-7695-2177-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Swathi Tanjore Gurumani, Aleksandar Milenkovic |
Execution characteristics of SPEC CPU2000 benchmarks: Intel C++ vs. Microsoft VC++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 42nd Annual Southeast Regional Conference, 2004, Huntsville, Alabama, USA, April 2-3, 2004, pp. 261-266, 2004, ACM, 1-58113-870-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
SPEC CPU2000 benchmarks, event-based sampling, performance evaluation, compiler optimizations |
16 | Gordon Fraser 0001, Franz Wotawa |
Cooperative Planning and Plan Execution in Partially Observable Dynamic Domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RoboCup ![In: RoboCup 2004: Robot Soccer World Cup VIII, pp. 524-531, 2004, Springer, 3-540-25046-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Jun Wu 0010, Jian-Jia Chen, Chih-wen Hsueh, Tei-Wei Kuo |
Scheduling of Query Execution Plans in Symmetric Multiprocessor Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Matthias Kühnemann, Thomas Rauber, Gudula Rünger |
Improving the execution time of global communication operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 276-287, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
global communication operations, orthogonal processor groups, parallel programs, MPI |
16 | Michel Dubois 0001 |
Fighting the memory wall with assisted execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 168-180, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance |
16 | SungJin Choi, MaengSoon Baik, HongSoo Kim, JunWeon Yoon, Jin Gon Shon, Chong-Sun Hwang |
Region-based Stage Construction Protocol for Fault tolerant Execution of Mobile Agent. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (2) ![In: 18th International Conference on Advanced Information Networking and Applications (AINA 2004), 29-31 March 2004, Fukuoka, Japan, pp. 499-502, 2004, IEEE Computer Society, 0-7695-2051-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Juan Zamorano, Alejandro Alonso 0001, José Antonio Pulido, Juan Antonio de la Puente |
Implementing Execution-Time Clocks for the Ada Ravenscar Profile. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ada-Europe ![In: Reliable Software Technologies - Ada-Europe 2004, 9th Ada-Europe International Conference on Reliable Software Technologies, Palma de Mallorca, Spain, June 14-18, 2004, Proceedings, pp. 132-143, 2004, Springer, 3-540-22011-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Oscar Esparza, Miguel Soriano, Jose L. Muñoz, Jordi Forné |
A protocol for detecting malicious hosts based on limiting the execution time of mobile agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the Eighth IEEE Symposium on Computers and Communications (ISCC 2003), 30 June - 3 July 2003, Kiris-Kemer, Turkey, pp. 251-256, 2003, IEEE Computer Society, 0-7695-1961-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Hans-Gerhard Groß, Nikolas Mayer |
Search-based Execution-Time Verification in Object-Oriented and Component-Based Real-Time System Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORDS ![In: 8th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS 2003), 15-17 January 2003, Guadalajara, Mexico, pp. 113-, 2003, IEEE Computer Society, 0-7695-1929-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Stefan Schemmer, Edgar Nett |
Achieving Reliable and Timely Task Execution in Mobile Embedded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORDS Fall ![In: 9th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS Fall 2003), 1-3 October 2003, Anacapri (Capri Island), Italy, pp. 61-68, 2003, IEEE Computer Society, 0-7695-2054-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Marco Caccamo, Giorgio C. Buttazzo, Lui Sha |
Handling Execution Overruns in Hard Real-Time Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(7), pp. 835-849, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Overrun management, real-time scheduling, rate adaptation |
16 | Atakan Dogan, Füsun Özgüner |
Matching and Scheduling Algorithms for Minimizing Execution Time and Failure Probability of Applications in Heterogeneous Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(3), pp. 308-323, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
matching and scheduling, precedence-constrained tasks, articulation points and bridges, DLS algorithm, reliability, heterogeneous computing |
16 | Danny Weyns, Eddy Truyen, Pierre Verbaeten |
Serialization of Distributed Execution-State in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NetObjectDays ![In: Objects, Components, Architectures, Services, and Applications for a Networked World, International Conference NetObjectDays, NODe 2002, Erfurt, Germany, October 7-10, 2002, Revised Papers, pp. 41-61, 2002, Springer, 3-540-00737-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Sofie Verbaeten, Danny De Schreye |
Termination of Simply-Moded Well-Typed Logic Programs under a Tabled Execution Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Algebra Eng. Commun. Comput. ![In: Appl. Algebra Eng. Commun. Comput. 12(1/2), pp. 157-196, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Logic Programming, Termination, Tabling |
16 | Angel Perles Ivars, Xavier Molero, Antonio Martí Campoy, Vicente Santonja, Juan José Serrano |
Improving the Execution of Groups of Simulations on a Cluster of Workstations and Its Application to Storage Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 34th Annual Simulation Symposium (SS 2001), Seattle, WA, USA, 22-26 April 2001, pp. 227-, 2001, IEEE Computer Society, 0-7695-1092-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Toshinori Sato, Itsujiro Arita |
Execution Latency Reduction via Variable Latency Pipeline and Instruction Reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, UK August 28-31, 2001, Proceedings, pp. 428-438, 2001, Springer, 3-540-42495-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Michael Fisher 0001 |
Direct Execution of Agent Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FAABS ![In: Formal Approaches to Agent-Based Systems, First International Workshop, FAABS 2000 Greenbelt, MD, USA, April 5-7, 2000, Revised Papers, pp. 163, 2000, Springer, 3-540-42716-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Wolf Pfannenstiel |
Combining Fusion Optimizations and Piecewise Execution of Nested Data-Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: Parallel and Distributed Processing, 15 IPDPS 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings, pp. 324-331, 2000, Springer, 3-540-67442-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Douglas Thain, Miron Livny |
Bypass: A Tool for Building Split Execution Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the Ninth IEEE International Symposium on High Performance Distributed Computing, HPDC'00, Pittsburgh, Pennsylvania, USA, August 1-4, 2000., pp. 79-86, 2000, IEEE Computer Society, 0-7695-0783-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | John C. Munson, Sebastian G. Elbaum |
Software Reliability as a Function of User Execution Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 32nd Annual Hawaii International Conference on System Sciences (HICSS-32), January 5-8, 1999, Maui, Hawaii, USA, 1999, IEEE Computer Society, 0-7695-0001-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Prabhakar Raghavan, Hadas Shachnai, Mira Yaniv |
Dynamic Schemes for Speculative Execution of Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 1998, Proceedings of the Sixth International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 19-24 July, 1998, Montreal, Canada, pp. 309-314, 1998, IEEE Computer Society, 0-8186-8566-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Flavio De Paoli, Francesco Tisato, Carlo Bellettini |
TDA: A Time Driven Engine for Predictable Execution of Realtime Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP Workshops ![In: Object-Oriented Technology, ECOOP'98 Workshop Reader, ECOOP'98 Workshops, Demos, and Posters, Brussels, Belgium, July 20-24, 1998, Proceedings, pp. 519-524, 1998, Springer, 3-540-65460-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Steven Wallace, Brad Calder, Dean M. Tullsen |
Threaded Multiple Path Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 238-249, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Artur Klauser, Abhijit Paithankar, Dirk Grunwald |
Selective Eager Execution on the PolyPath Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 250-259, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Angela Sampogna, David R. Kaeli, Daniel Green, Michael Silva, Christopher J. Sniezek |
Performance Modeling Using Object-Oriented Execution-Driven Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 29st Annual Simulation Symposium (SS '96), April 8-11, 1996, New Orleans, LA, USA, pp. 183-192, 1996, IEEE Computer Society, 0-8186-7432-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
16 | I-Min A. Chen, Richard Hull 0001, Dennis McLeod |
An Execution Model for Limited Ambiguity Rules and Its Application to Derived Data Update. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 20(4), pp. 365-413, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
deltas on database states, limited ambiguity rules, semantic data models, active database systems, update propagation, derived data |
16 | Hui-I Hsiao, Ming-Syan Chen, Philip S. Yu |
On Parallel Execution of Multiple Pipelined Hash Joins. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1994 ACM SIGMOD International Conference on Management of Data, Minneapolis, Minnesota, USA, May 24-27, 1994., pp. 185-196, 1994, ACM Press, 978-0-89791-639-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
16 | Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren |
Speculative Execution and Branch Prediction on Parallel Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 7th international conference on Supercomputing, ICS 1993, Tokyo, Japan, July 20-22, 1993, pp. 77-86, 1993, ACM, 0-89791-600-X. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
16 | Dave D. Straube, M. Tamer Özsu |
Execution Plan Generation for an Object-Oriented Dat Model. (long version: IEEE Trans. Knowl. Data Eng. 7(2): 210-227(1995)) ![Search on Bibsonomy](Pics/bibsonomy.png) |
DOOD ![In: Deductive and Object-Oriented Databases, Second International Conference, DOOD'91, Munich, Germany, December 16-18, 1991, Proceedings, pp. 43-67, 1991, Springer, 3-540-55015-1. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
16 | Craig B. Stunkel, W. Kent Fuchs |
TRAPEDS: Producing Traces for Multicomputers Via Execution Driven Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1989 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Berkeley, California, USA, May 23-26, 1989, pp. 70-78, 1989, ACM, 0-89791-315-9. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Intel 80386 |
16 | Raghu Karinthi, Mark D. Weiser |
Incremental re-execution of programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the Symposium on Interpreters and Interpretive Techniques, 1987, St. Paul, Minnesota, USA, June 24 - 26, 1987, pp. 38-44, 1987, ACM, 0-89791-235-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
16 | David J. DeWitt |
Query Execution in DIRECT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1979 ACM SIGMOD International Conference on Management of Data, Boston, Massachusetts, USA, May 30 - June 1., pp. 13-22, 1979, ACM, 0-89791-001-X. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
|
15 | Allison W. Lee, Mohamed Zaït, Thierry Cruanes, Rafi Ahmed, Yali Zhu |
Validating the Oracle SQL engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DBTest ![In: Proceedings of the 2nd International Workshop on Testing Database Systems, DBTest 2009, Providence, Rhode Island, USA, June 29, 2009, 2009, ACM, 978-1-60558-706-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
execution plan, test, SQL, validation, query optimization, database systems, Oracle |
15 | Dries Vanoverberghe, Nikolai Tillmann, Frank Piessens |
Test Input Generation for Programs with Pointers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 15th International Conference, TACAS 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 277-291, 2009, Springer, 978-3-642-00767-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
symbolic execution, pointers, Test input generation |
15 | Jun Huang, Soo-Young Lee |
A heterogeneity-aware approach to load balancing of computational tasks: a theoretical and simulation study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 11(2), pp. 133-149, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Average execution time, Spatial heterogeneity, Temporal heterogeneity, Load balancing, Stochastic model, Random variables |
15 | Ashley T. McNeile, Ella E. Roubtsova |
Executable Protocol Models as a Requirements Engineering Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 41st Annual Simulation Symposium (ANSS-41 2008), April 14-16, 2008, Ottawa, Canada, pp. 95-102, 2008, IEEE Computer Society, 0-7695-3143-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
event protocols, composition, requirements, CSP, model execution |
15 | Patrice Godefroid, Michael Y. Levin, David A. Molnar |
Active property checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 8th ACM & IEEE International conference on Embedded software, EMSOFT 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 207-216, 2008, ACM, 978-1-60558-468-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
security, software testing, symbolic execution |
15 | Weirong Zhu, Yanwei Niu, Guang R. Gao |
Performance portability on EARTH: a case study across several parallel architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 10(2), pp. 115-126, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Code portability, Programming execution model, Fine-grained multithreading, EARTH, Performance portability |
15 | MinHwan Ok, Ja-Won Seo, Myong-Soon Park |
A Distributed Resource Furnishing to Offload Resource-Constrained Devices in Cyber Foraging Toward Pervasive Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NBiS ![In: Network-Based Information Systems, First International Conference, NBiS 2007, Regensburg, Germany, September 3-7, 2007, Proceedings, pp. 416-425, 2007, Springer, 978-3-540-74572-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Virtual Network Computing, Mobile Device, Remote Execution, Storage Server, Cyber Foraging |
15 | Joshua L. Kihm, Samuel D. Strom, Daniel A. Connors |
Phase-Guided Small-Sample Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 84-93, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SpedOOO benchmark suite, phase-guided small-sample simulation, sampled simulation, phase-based simulation, benchmark evaluation suite, execution-aware sampling-based simulation, design space exploration, sampling method, processor design, cycle-accurate simulation |
15 | Kamesh Munagala, Utkarsh Srivastava, Jennifer Widom |
Optimization of continuous queries with shared expensive filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Sixth ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems, June 11-13, 2007, Beijing, China, pp. 215-224, 2007, ACM, 978-1-59593-685-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
expensive predicates, shared execution, query optimization |
15 | Pallavi Joshi, Koushik Sen, Mark Shlimovich |
Predictive testing: amplifying the effectiveness of software testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 6th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2007, Dubrovnik, Croatia, September 3-7, 2007, pp. 561-564, 2007, ACM, 978-1-59593-811-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
assertion hoisting, predictive testing, random testing, symbolic execution, testing C programs |
15 | Manuel Costa, Miguel Castro 0001, Lidong Zhou, Lintao Zhang, Marcus Peinado |
Bouncer: securing software by blocking bad input. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 21st ACM Symposium on Operating Systems Principles 2007, SOSP 2007, Stevenson, Washington, USA, October 14-17, 2007, pp. 117-130, 2007, ACM, 978-1-59593-591-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
precondition slicing, symbolic execution |
15 | Koushik Sen |
Concolic testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 22nd IEEE/ACM International Conference on Automated Software Engineering (ASE 2007), November 5-9, 2007, Atlanta, Georgia, USA, pp. 571-572, 2007, ACM, 978-1-59593-882-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
data structure testing, explicit path model-checking, random testing, unit testing, symbolic execution, testing tools, testing C programs, concolic testing |
15 | Onur Mutlu, Hyesoon Kim, Yale N. Patt |
Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(12), pp. 1491-1508, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
value prediction, memory-level parallelism, runahead execution, Single data stream architectures |
15 | Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg |
FAST: Frequency-aware static timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(1), pp. 200-224, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, Real-time systems, dynamic voltage scaling, worst-case execution time analysis |
15 | Christer Sandberg, Andreas Ermedahl, Jan Gustafsson, Björn Lisper |
Faster WCET flow analysis by program slicing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006, pp. 103-112, 2006, ACM, 1-59593-362-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hard real time, worst-case execution time analysis |
15 | Stephan Thesing |
Modeling a system controller for timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 6th ACM & IEEE International conference on Embedded software, EMSOFT 2006, October 22-25, 2006, Seoul, Korea, pp. 292-300, 2006, ACM, 1-59593-542-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
aiT, verification, static analysis, VHDL, timing analysis, WCET, worst-case execution time, avionics, peripherals |
15 | Arun Kejariwal, Xinmin Tian, Wei Li 0015, Milind Girkar, Sergey Kozhukhov, Hideki Saito 0001, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos |
On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 24, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
DOALL loops, value dependence, performance evaluation, data dependence, speculative execution, control dependence |
15 | Therani Madhusudan |
An experience report on developing an automated web services platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Syst. E Bus. Manag. ![In: Inf. Syst. E Bus. Manag. 3(3), pp. 243-263, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Interleaving execution, Transactions, Web service composition, AI planning |
15 | Sascha Uhrig, Theo Ungerer |
Energy Management for Embedded Multithreaded Processors with Integrated EDF Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Systems Aspects in Organic and Pervasive Computing - ARCS 2005, 18th International Conference on Architecture of Computing Systems, Innsbruck, Austria, March 14-17, 2005, Proceedings, pp. 1-17, 2005, Springer, 3-540-25273-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
energy-aware program execution, multithreading, real-time scheduling, energy management, EDF scheduling |
15 | Minoru Terada |
ETV: a program trace player for students. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 10th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 2005, Caparica, Portugal, June 27-29, 2005, pp. 118-122, 2005, ACM, 1-59593-024-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
program visualization, execution trace |
15 | Paul V. Gestwicki |
Interactive visualization of object-oriented programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 19th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2004, October 24-28, 2004, Vancouver, BC, Canada, pp. 48-49, 2004, ACM, 1-58113-833-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interactive execution, object and sequence diagrams, java, object-oriented programming, program visualization |
15 | Alan Hartman, Kenneth Nagin |
The AGEDIS tools for model based testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2004, Boston, Massachusetts, USA, July 11-14, 2004, pp. 129-132, 2004, ACM, 1-58113-820-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
test execution framework, validation, UML modeling, coverage analysis, automated test generation, defect analysis |
15 | Ajay D. Kshemkalyani |
A Fine-Grained Modality Classification for Global Predicates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 14(8), pp. 807-816, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
distributed system, synchronization, causality, Predicates, distributed execution, global state |
15 | Yoshihiro Nakaminami, Toshimitsu Masuzawa, Ted Herman |
A Method for Evaluating Efficiency of Protocols on the Asynchronous Shared-State Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Self-Stabilizing Systems ![In: Self-Stabilizing Systems, 6th International Symposium, SSS 2003, San Francisco, CA, USA, June 24-25, 2003, Proceedings, pp. 141-153, 2003, Springer, 3-540-40453-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
asynchronous model, synchronous execution, linear state-transition protocol, Distributed system, distributed algorithm, time complexity |
15 | Xianfeng Li, Tulika Mitra, Abhik Roychoudhury |
Accurate timing analysis by modeling caches, speculation and their interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 466-471, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
cache, worst case execution time, branch prediction |
15 | Stein Krogdahl, Olav Lysne |
On Verification of Parallel Message-Passing Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects Comput. ![In: Formal Aspects Comput. 13(6), pp. 471-492, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Interleaved execution, Parallel processes, Program verification |
15 | W. Eric Wong, Swapna S. Gokhale, Joseph Robert Horgan |
Measuring Distance between Program Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 26th International Computer Software and Applications Conference (COMPSAC 2002), Prolonging Software Life: Development and Redevelopment, 26-29 August 2002, Oxford, England, Proceedings, pp. 307-312, 2002, IEEE Computer Society, 0-7695-1727-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
program features, invoking input, distance between features, program comprehension, execution slice |
15 | Amr M. M. Ashmawy, Howaida F. Ismail, Aly H. Fahmy |
Hybrid Predication Model for Instruction Level Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
ILP, predication, masking, guarding, conditional execution |
15 | Vijayalakshmi Atluri, Soon Ae Chun, Pietro Mazzoleni |
A Chinese wall security model for decentralized workflow systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: CCS 2001, Proceedings of the 8th ACM Conference on Computer and Communications Security, Philadelphia, Pennsylvania, USA, November 6-8, 2001., pp. 48-57, 2001, ACM, 1-58113-385-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
decentralized workflow execution, self-describing workflow, Chinese wall security policy |
15 | Ladjel Bellatreche, Kamalakar Karlapalem, Mukesh K. Mohania, Michel Schneider |
What Can Partitioning Do for Your Data Warehouses and Data Marts? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAS ![In: 2000 International Database Engineering and Applications Symposium, IDEAS 2000, September 18-20, 2000, Yokohoma, Japan, Proccedings, pp. 437-446, 2000, IEEE Computer Society, 0-7695-0789-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
data warehouse star schema, query execution cost, OLAP queries, query processing, distributed databases, partitioning, data warehouses, data warehouses, greedy algorithm, data marts, star schema, data fragmentation |
15 | Jeffrey B. Rothman, Alan Jay Smith |
Multiprocessor Memory Reference Generation Using Cerberus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 1999, Proceedings of the 7th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 24-28 October, 1999, College Park, Maryland, USA, pp. 278-287, 1999, IEEE Computer Society, 0-7695-0381-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Multiprocessor Memory References, Execution Driven Simulation, Program Tracing |
15 | Rajive L. Bagrodia, Ewa Deelman, Steven Docy, Thomas Phan |
Performance Prediction of Large Parallel Applications using Parallel Simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 1999 ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPOPP'99), Atlanta, Georgia, USA, May 4-6, 1999, pp. 151-162, 1999, ACM, 1-58113-100-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
application scalability, parallel program simulation, MPI, parallel discrete event simulation, MPI-IO, direct execution |
15 | Nitzan Weinberg, David Nagle |
Dynamic Elimination of Pointer-Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 142-147, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
pointer-expression, sphinx, sub-expression, SPECint95, memory address, performance analysis, compiler, locality, speech recognition, dynamic, microprocessor, mpeg, cache memory, microarchitecture, jpeg, value, spatial, memory bandwidth, data reuse, temporal, pointer, conditional execution |
15 | Bogdan Korel |
Computation of Dynamic Program Slices for Unstructured Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 23(1), pp. 17-34, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
removable block, unstructured program, debugging, Program slicing, data dependence, control dependence, execution trace, dynamic program slicing |
15 | John Z. Lou, John D. Farrara |
Performance Analysis and Optimization on a Parallel Atmospheric General Circulation Model Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 174-180, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
terrestrial atmosphere, parallel atmospheric general circulation model code, AGCM, distributed memory massively parallel computer systems, single node code performance, load balanced FFT algorithm, convolution based algorithm, load balancing scheme, single node performance, load balance, performance analysis, execution time, parallel implementation, Intel Paragon, Cray T3D, numerical efficiency |
15 | Vincent John Mooney III, Giovanni De Micheli |
Real time analysis and priority scheduler generation for hardware-software systems with a synthesized run-time system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 605-612, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
worst-case execution time, rtos, hardware-software codesign, real-time analysis, run-time scheduler |
15 | Habib Ammari |
Towards Program Debugging and Dynamic Reverse Engineering Using Multi-procedure Program Dynamic Decomposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (5) ![In: 30th Annual Hawaii International Conference on System Sciences (HICSS-30), 7-10 January 1997, Maui, Hawaii, USA, pp. 71-80, 1997, IEEE Computer Society, 0-8186-7734-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
dynamic reverse engineering, dynamic decomposition, dynamic dependency relations, program execution path, dynamic program thread, dynamic lattice, incremental dynamic lattice, Software engineering, debugging |
15 | Shahram Ghandeharizadeh, Richard Hull 0001, Dean Jacobs |
Heraclitus: Elevating Deltas to be First-Class Citizens in a Database Programming Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 21(3), pp. 370-426, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
execution model for rule application, hypothetical access, hypothetical database state, active databases, deltas |
15 | Luisa Massari, Yves Mahéo |
Performance Evualuation of Automatically Generated Data-Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), January 24-26, 1996, Portugal, pp. 534-540, 1996, IEEE Computer Society, 0-8186-7376-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
automatically generated data-parallel programs, data-parallel compilers, sequential language, data distribution directives, static description, dynamic description, workload characterization techniques, Pandore environment, profile generation, performance evaluation, parallel programming, software performance evaluation, automatic programming, data partitioning, runtime system, workload model, parallelising compilers, distributed memory parallel computers, performance indices, program execution, trace generation |
15 | In Sang Chung, Malcolm Munro, Wan Kwon Lee, Yong Rae Kwon |
Applying Conventional Testing Techniques for Class Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: COMPSAC '96 - 20th Computer Software and Applications Conference, August 19-23, 1996, Seoul, Korea, pp. 447-454, 1996, IEEE Computer Society, 0-8186-7579-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
program testing techniques, class member function testing, code-based testing, formal specification, object-oriented programming, object oriented programming, finite state machines, finite state machines, program testing, symbolic execution, programming theory, specification-based testing, class testing, branch coverage |
15 | Youssef Latrous, Guy Mazaré |
Distributing code in a parallel fine grain machine using the actor model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 3rd Euromicro Workshop on Parallel and Distributed Processing (PDP '95), January 25-27, 1995, San Remo, Italy, pp. 122-129, 1995, IEEE Computer Society, 0-8186-7031-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
parallel fine grain machine, I/O operations, code loading, application growth, preferment communication unit, parallel programming, parallel architectures, message passing, parallel machines, execution time, massively parallel systems, actor model, code distribution |
15 | Jordi Tubella, Antonio González 0001 |
Exploiting path parallelism in logic programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 3rd Euromicro Workshop on Parallel and Distributed Processing (PDP '95), January 25-27, 1995, San Remo, Italy, pp. 164-173, 1995, IEEE Computer Society, 0-8186-7031-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
path parallelism, partial breadth-first search, SLD-tree, SPMD architecture, non-deterministic programs, parallel programming, logic programming, logic programming, PROLOG, Prolog, data parallelism, Multipath, execution model |
15 | James Phillips, Stamatis Vassiliadis |
High-Performance 3-1 Interlock Collapsing ALU's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(3), pp. 257-268, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
3-1 interlock collapsing ALU, execution interlocks, multiple instruction issuing machines, parallel architectures, delay, digital arithmetic, CMOS technology, critical path, reduced instruction set computing, Boolean equations |
15 | Shinichi Honiden, Kazuhiko Nishimura, Naoshi Uchihira, Kiyoshi Itoh |
An Application of Artificial Intelligence to Object-Oriented Performance Design for Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 20(11), pp. 849-867, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
object-oriented performance design, rapid prototyping method, OOPD, prototyping phases, prototype construction, prototype execution, artificial intelligence based methods, rapid construction mechanism, hybrid inference mechanism, declarative knowledge representation, MENDEL, Prolog based concurrent object-oriented language, prototype construction tool, real-time systems, real-time systems, artificial intelligence, object-oriented programming, knowledge representation, expert systems, expert system, software reusability, inference mechanisms, object-oriented languages, parallel languages, software prototyping, qualitative reasoning, reusable software components, prototype evaluation |
15 | Scott A. Mahlke, William Y. Chen, Roger A. Bringmann, Richard E. Hank, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker |
Sentinel Scheduling for VLIW and Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 11(4), pp. 376-408, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
exception detection, exception recovery, instruction-level parallelism, instruction scheduling, speculative execution, superscalar processor, VlIW processor |
15 | Bin Qin |
Meet Real-Time Requirements of Parallel Programs and Maximally Utilize System Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 19(10), pp. 976-981, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
time-cost behavior, real-time systems, performance analysis, parallel programs, parallel programming, multiprocessor system, programming theory, software cost estimation, real-time requirements, shared memory architecture, execution environment, system resources |
15 | Laura K. Dillon |
A Visual Model for Ada Tasking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Softw. Eng. Methodol. ![In: ACM Trans. Softw. Eng. Methodol. 2(4), pp. 311-345, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
contour model, visual execution model, Ada |
15 | Uri Abraham |
On system executions and states. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Intell. ![In: Appl. Intell. 3(1), pp. 17-30, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
Correctness of programs, system execution, cores of executions, global time, semantics of concurrency |
15 | Rajiv Gupta 0001 |
Synchronization and Communication Costs of Loop Partitioning on Shared-Memory Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 3(4), pp. 505-512, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
static loop scheduling, staticloop decomposition, computer-assisted run-time scheduling, multidimensional loops, operation execution costs, synchronization costs, programexecution, synchronization instruction, Encore multiprocessor system, scheduling, parallel algorithms, parallel programming, program compilers, programming theory, communication costs, nested loops, self-scheduling, shared-memory multiprocessor systems, loop partitioning, program decomposition |
15 | Yingsha Liao, Donald Cohen |
A Specificational Approach to High Level Program Monitoring and Measuring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 18(11), pp. 969-979, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
program measurement, specificational approach, high level program monitoring, execution characteristics, instrumentation code, high-level specification language, augmented program, complexities, formal specification, software metrics, specification languages, automatic programming, system monitoring, special-purpose hardware |
15 | Yuri Breitbart, Dimitrios Georgakopoulos 0001, Marek Rusinkiewicz, Abraham Silberschatz |
On Rigorous Transaction Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 17(9), pp. 954-960, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
rigorous transaction scheduling, transaction scheduling mechanisms, transaction serialization order, commitment order, multidatabase system environment, analogous execution, serialization orders, rigorous schedulers, hierarchical transaction management mechanisms, global serializability, scheduling, distributed databases, concurrency control, transaction processing, database theory |
15 | David Kotz, Carla Schlatter Ellis |
Prefetching in File Systems for MIMD Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 1(2), pp. 218-230, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
concurrent OS, disc caching, MIMD multiprocessors, interleaved file system, Butterfly Plus multiprocessor, I/O requests, performance evaluation, performance, parallel computation, caching, prefetching, multiprocessing systems, file systems, buffer storage, execution time, file organisation, hit ratio |
15 | Thomas G. Moher |
PROVIDE: A Process Visualization and Debugging Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 14(6), pp. 849-857, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
process visualization environment, PROVIDE, University of Illinois, deferred-binding program animation, process history consistency maintenance, Macintosh workstations, VAX 11/780, 4.2 BSD Unix, computer graphics, programming environments, program debugging, program debugging, program execution, interactive computer graphics, debugging environment |
Displaying result #601 - #700 of 33018 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|