The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Arun Shanbhag, Srinivasa R. Danda, Naveed A. Sherwani Floorplanning for mixed macro block and standard cell designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Yachyang Sun, Majid Sarrafzadeh Floorplanning by Graph Dualization: L-shaped Modules. Search on Bibsonomy Algorithmica The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Yachyang Sun, Kok-Hoo Yeap Edge Covering of Complex triangles in Rectangular Dual floorplanning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Nasir-ud-Din Gohar, Peter Y. K. Cheung A New Schematic-driven Floorplanning Algorithm for Analog Cell Layout. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
17Jürgen Herrmann, Renate Beckmann LEFT - A learning tool for early floorplanning. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Pradip Bose, David LaPotin, Gopalakrishnan Vijayan, Sungho Kim Workload-Driven Floorplanning for MIPS Optimization. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Susmita Sur-Kolay, Bhargab B. Bhattacharya Canonical Embedding of Rectangular Duals with Applications to VLSI Floorplanning. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
17Luis París, G. Berbel, T. Osés Floorplanning strategy for mixed analog-digital VLSI integrated circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
17Sang-Gil Choi, Chong-Min Kyung A Floorplanning Algorithm Using Rectangular Voronoi Diagram and Force-Directed Block Shaping. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Jen-Pin Weng, Alice C. Parker 3D Scheduling: High-Level Synthesis with Floorplanning. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Somchai Prasitjutrakul Performance-driven chip floorplanning and global routing Search on Bibsonomy 1991   RDF
17Rolf Müller 0003 Hierarchisches Floorplanning mit integrierter globaler Verdrahtung. Search on Bibsonomy 1990   RDF
17Massoud Pedram, Malgorzata Marek-Sadowska, Ernest S. Kuh Floorplanning with Pin Assignment. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Thomas Lengauer, Rolf Müller A Robust Framework for Hierarchical Floorplanning with Integrated Global Wiring. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Alexander Herrigel GRCA: A Global Approach for Floorplanning Synthesis in VLSI Macrocell Design. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Gopalakrishnan Vijayan, Ren-Song Tsay Floorplanning by Topological Constraint Reduction. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Massoud Pedram, Bryan Preas A hierarchical floorplanning approach. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Rolf Müller 0003 Ein robuster Rahmen für hierarchisches Floorplanning mit integrierter globaler Verdrahtung. Search on Bibsonomy GI Jahrestagung (2) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Daniel R. Brasen, Michael L. Bushnell MHERTZ: A New Optimization Algorithm for Floorplanning and Global Routing. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Eric Rosenberg Optimal module sizing in VLSI floorplanning by nonlinear programming. Search on Bibsonomy ZOR Methods Model. Oper. Res. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Alexander Herrigel, M. Glaser, Wolfgang Fichtner A global floorplanning technique for VLSI layout. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Marwan A. Jabri, David J. Skellern PIAF: A Knowledge-based/Algorithm Top-Down Floorplanning System. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Marwan A. Jabri, David J. Skellern Implementation of a knowledge base for interpreting and driving integrated circuit floorplanning algorithms. Search on Bibsonomy Artif. Intell. Eng. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17David P. La Potin, Stephen W. Director Mason: A Global Floorplanning Approach for VLSI Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
17André Leblond CAF: A computer-assisted floorplanning tool. Search on Bibsonomy DAC The full citation details ... 1983 DBLP  BibTeX  RDF
16Neeraj Kaul Design planning trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock planning, feedthrough generation, macro placement, power domains, power planning, time budgeting, voltage areas, prototyping, partitioning, floorplanning, feasibility, hierarchical design, constraints generation, pin assignment
16Chiu-Wing Sham, Evangeline F. Y. Young, Jingwei Lu Congestion prediction in early stages of physical design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF placement, Estimation, floorplanning
16Asma Kahoul, George A. Constantinides, Alastair M. Smith, Peter Y. K. Cheung Heterogeneous Architecture Exploration: Analysis vs. Parameter Sweep. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable architectures, Floorplanning, integer linear programming (ILP)
16Robert Fischbach, Jens Lienig, Tilo Meister From 3D circuit technologies and data structures to interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3d floorplanning, three-dimensional circuits, data structures, 3d integration, interconnect prediction
16Michael D. Moffitt, Jarrod A. Roy, Igor L. Markov, Martha E. Pollack Constraint-driven floorplan repair. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF constraints, Floorplanning, legalization
16Meng-Chiou Wu, Rung-Bin Lin, Shih-Cheng Tsai Chip placement in a reticle for multiple-project wafer fabrication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiple-project wafers (MPW), compatibility graph, reticle floorplanning, shuttle mask, wafer dicing, simulated annealing (SA), set cover, mixed-integer linear programming (MILP), conflict graph, set partition
16Subhashis Majumder, Susmita Sur-Kolay, Bhargab B. Bhattacharya, Swarup Kumar Das Hierarchical partitioning of VLSI floorplans by staircases. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF balanced bipartitioning, NP-completeness, Floorplanning, network flow, global routing
16Yuchun Ma, Xianlong Hong, Sheqin Dong, Chung-Kuan Cheng, Jun Gu General Floorplans with L/T-Shaped Blocks Using Corner Block List. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF corner block list, L/T-shaped blocks, floorplanning
16Royce L. S. Ching, Evangeline F. Y. Young, Kevin C. K. Leung, Chris C. N. Chu Post-placement voltage island generation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF tree, floorplanning, voltage island
16Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Placement of digital microfluidic biochips using the t-tree formulation. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, floorplanning, microfluidics, biochip
16Ameya R. Agnihotri, Satoshi Ono, Patrick H. Madden Recursive bisection placement: feng shui 5.0 implementation details. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed block design, placement, floorplanning
16Chiu-Wing Sham, Evangeline F. Y. Young Congestion prediction in early stages. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, floorplanning, interconnect estimation
16Yuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu Stairway compaction using corner block list and its applications with rectilinear blocks. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF corner block list, rectilinear blocks, Floorplanning
16Ateen Khatkhate, Chen Li 0004, Ameya R. Agnihotri, Mehmet Can Yildiz, Satoshi Ono, Cheng-Kok Koh, Patrick H. Madden Recursive bisection based mixed block placement. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixed block design, placement, floorplanning
16Hayward H. Chan, Igor L. Markov Practical slicing and non-slicing block-packing without simulated annealing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF block-packing, optimal, evaluation, branch-and-bound, floorplanning, slicing, hierarchical, large-scale, soft blocks
16Guang-Ming Wu, Yun-Chih Chang, Yao-Wen Chang Rectilinear block placement using B*-trees. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF placement, layout, floorplanning, Computer-aided design of VLSI
16Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Yici Cai, Chung-Kuan Cheng, Jun Gu Dynamic global buffer planning optimization based on detail block locating and congestion analysis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF congestion, floorplanning, buffer insertion, routability
16Parthasarathi Dasgupta, Peichen Pan, Subhas C. Nandy, Bhargab B. Bhattacharya Monotone bipartitioning problem in a planar point set with applications to VLSI. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Complexity of algorithms, routing, very large scale integration (VLSI), partitioning, floorplanning
16Evangeline F. Y. Young, Chris C. N. Chu, M. L. Ho A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement constraint, physical design, floorplanning
16Xiaobo Hu 0001, Danny Z. Chen, Rajeshkumar S. Sambandam Efficient list-approximation techniques for floorplan area minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF k-link shortest paths, list approximation, floorplanning, area minimization
16Wei-Jin Dai Hierarchical physical design methodology for multi-million gate chips. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF physical prototype, partitioning, placement, floorplanning, deep sub-micron, hierarchical design
16Israel Koren Should Yield be a Design Objective? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF routing, floorplanning, yield, compaction, critical area
16P. Ghosh, Ramon Mangaser, C. Mark, Kenneth Rose Interconnect-Dominated VLSI Design. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Microprocessor Performance Estimation, Interconnects, Floorplanning, VLSI Design, Repeater Insertion
16Real G. Pomerleau, Paul D. Frazon, Griff L. Bilbro Improved Selay Prediction for On-Chip Buses. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF RC wiring delay, buffer optimization, high-level synthesis, floorplanning, interconnect optimization
16Parthasarathi Dasgupta, Susmita Sur-Kolay Slicibility of rectangular graphs and floorplan optimization. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF graph dualization, nonslicible floorplans, slicible floorplans, very large scale integration, heuristic search, planar graphs, floorplanning
16Parthasarathi Dasgupta, Susmita Sur-Kolay, Bhargab B. Bhattacharya A unified approach to topology generation and area optimization of general floorplans. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI floorplanning, AND-OR graphs, placement, heuristic search
10Vassilios Gerousis Physical design implementation for 3D IC: methodology and tools. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D IC stack, micro-bump, physical design tools, silicon interposer, methodology, tsv
10Dipanjan Sengupta, Resve A. Saleh Application-Driven Voltage-Island Partitioning for Low-Power System-on-Chip Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10David S. Kung 0001, Ruchir Puri CAD challenges for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Zaichen Qian, Evangeline F. Y. Young Multi-voltage floorplan design with optimal voltage assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-voltage assignment optimization branch-and-bound
10Debasri Saha, Susmita Sur-Kolay Encoding of Floorplans through Deterministic Perturbation. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Yinghai Lu, Hai Zhou 0001, Li Shang, Xuan Zeng 0001 Multicore parallel min-cost flow algorithm for CAD applications. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF min-cost flow, parallel programming, multicore
10Shengqi Yang, Wenping Wang, Tiehan Lv, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Case Study of Reliability-Aware and Low-Power Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Maharaj Mukherjee, Kanad Chakraborty A Randomized Greedy Method for Rectangular-Pattern Fill Problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yong Zhan, Sachin S. Sapatnekar Automated module assignment in stacked-Vdd designs for high-efficiency power delivery. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yuchun Ma, Yongxiang Liu, Eren Kursun, Glenn Reinman, Jason Cong Investigating the effects of fine-grain three-dimensional integration on microarchitecture design. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D packing, microarchitecture, 3D integration, thermal
10Xiaoyi Wang, Jin Shi, Yici Cai, Xianlong Hong Heuristic power/ground network and floorplan co-design method. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jiayi Liu, Sheqin Dong, Xianlong Hong, Yibo Wang, Ou He, Satoshi Goto Symmetry constraint based on mismatch analysis for analog layout in SOI technology. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Michael B. Healy, Fayez Mohamood, Hsien-Hsin S. Lee, Sung Kyu Lim A unified methodology for power supply noise reduction in modern microarchitecture design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Andreas Schallenberg, Achim Rettberg, Wolfgang Nebel, Franz-Josef Rammig Seamless design flow for reconfigurable systems. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Ou He, Sheqin Dong, Jinian Bian, Satoshi Goto, Chung-Kuan Cheng A novel fixed-outline floorplanner with zero deadspace for hierarchical design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF floorplanner, soft modules, zero deadspace, fixed-outline
10Zhenyu (Peter) Gu, Jia Wang 0003, Robert P. Dick, Hai Zhou 0001 Unified Incremental Physical-Level and High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling-Capacitor Planning and Sizing for Noise and Leakage Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10M. Thenappan, Arasu T. Senthil, K. M. Sreekanth, Ramesh S. Guzar An Overlap Removal Algorithm for Macrocell Placement in VLSI Layouts. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Liu Yang, Sheqin Dong, Yuchun Ma, Xianlong Hong Interconnect Power Optimization Based on Timing Analysis. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shigetoshi Nakatake Structured Placement with Topological Regularity Evaluation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Tien-Ting Fang, Ting-Chi Wang Fast Buffered Delay Estimation Considering Process Variations. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical buffer insertion method, buffered delay estimation, first-order canonical forms, buffer blockages, deterministic delay estimation method, process variations
10Jin Guo 0001, Antonis Papanikolaou, Francky Catthoor Topology exploration for energy efficient intra-tile communication. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wai-Kei Mak, Jr-Wei Chen Voltage Island Generation under Performance Requirement for SoC Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jens Hagemeyer, Boris Kettelhoit, Markus Koester, Mario Porrmann A Design Methodology for Communication Infrastructures on Partially Reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
10Yong Zhan, Tianpei Zhang, Sachin S. Sapatnekar Module assignment for pin-limited designs under the stacked-Vdd paradigm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yongxiang Liu, Yuchun Ma, Eren Kursun, Glenn Reinman, Jason Cong Fine grain 3D integration for microarchitecture design through cube packing exploration. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ali Jahanian 0001, Morteza Saheb Zamani Improved timing closure by early buffer planning in floor-placement design flow. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF buffer planning, design convergence, buffer insertion
10Sachin S. Sapatnekar Computer-aided design of 3d integrated circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hongjie Bai, Sheqin Dong, Xianlong Hong Congestion Driven Buffer Planning for X-Architecture. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhuo Li 0001, Charles J. Alpert, Stephen T. Quay, Sachin S. Sapatnekar, Weiping Shi Probabilistic Congestion Prediction with Partial Blockages. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane FABSYN: floorplan-aware bus architecture synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod Linear-programming-based techniques for synthesis of network-on-chip architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Erik Larsson, Zebo Peng Power-Aware Test Planning in the Early System-on-Chip Design Exploration Process. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test set selection, TAM design, power consumption, hot-spots, Test scheduling, design exploration
10Charles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze Accurate estimation of global buffer delay within a floorplan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yuan Xie 0001, Wei-Lun Hung Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thermal-aware design, scheduling, embedded system design, system-on-chip design
10Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Reliability-Aware SOC Voltage Islands Partition and Floorplan. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Amilcar do Carmo Lucas, Sven Heithecker, Peter Rüffer, Rolf Ernst, Holger Rückert, Gerhard Wischermann, Karin Gebel, Reinhard Fach, Wolfgang Huther, Stefan Eichner, Gunter Scheller A reconfigurable HW/SW platform for computation intensive high-resolution real-time digital film applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF digital film, stream-based architecture, weak-programming, FPGA, motion-estimation, reconfigurable
10Jason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Reinman, Jie Wei, Yan Zhang An automated design flow for 3D microarchitecture evaluation. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Rung-Bin Lin, Meng-Chiou Wu, Wei-Chiu Tseng, Ming-Hsine Kuo, Tsai-Ying Lin, Shr-Cheng Tsai Design space exploration for minimizing multi-project wafer production cost. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor Physical design implementation of segmented buses to reduce communication energy. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Zhe Feng 0002, Yu Hu 0002, Tong Jing, Xianlong Hong, Xiaodong Hu 0001, Guiying Yan An O(nlogn) algorithm for obstacle-avoiding routing tree construction in the lambda-geometry plane. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ?-geometry, O(nlogn), Steiner tree construction, obstacle-avoiding
10Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Floorplan driven leakage power aware IP-based SoC design space exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, leakage power, temperature
10Hongjie Bai, Sheqin Dong, Xianlong Hong, Song Chen 0001 Buffer planning based on block exchanging. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sheng-Ta Hsieh, Tsung-Ying Sun, Cheng-Wei Lin, Chun-Ling Lin Placement Constraints and Macrocell Overlap Removal Using Particle Swarm Optimization. Search on Bibsonomy ANTS Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Song Chen 0001, Takeshi Yoshimura On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Charles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang Timing-driven Steiner trees are (practically) free. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF arborescence, timing-driven, rectilinear Steiner tree
10Hung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong Simultaneous power supply planning and noise avoidance in floorplan design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Renshen Wang, Sheqin Dong, Xianlong Hong An improved P-admissible floorplan representation based on Corner Block List. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license