|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2136 occurrences of 1033 keywords
|
|
|
Results
Found 2354 publication records. Showing 2266 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
22 | Sheng-Yuan Yang, Pen-Chin Liao, Cheng-Seen Ho |
An Ontology-Supported Case-Based Reasoning Technique for FAQ Proxy Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEKE ![In: Proceedings of the 17th International Conference on Software Engineering and Knowledge Engineering (SEKE'2005), Taipei, Taiwan, Republic of China, July 14-16, 2005, pp. 639-644, 2005, 1-891706-16-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
22 | Abhinav Das, Jiwei Lu, Howard Chen 0002, Jinpyo Kim, Pen-Chung Yew, Wei-Chung Hsu, Dong-yuan Chen |
Performance of Runtime Optimization on BLAST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 20-23 March 2005, San Jose, CA, USA, pp. 86-96, 2005, IEEE Computer Society, 0-7695-2298-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Xiaoru Dai, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew |
A General Compiler Framework for Speculative Optimizations Using Data Speculative Code Motion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 20-23 March 2005, San Jose, CA, USA, pp. 280-290, 2005, IEEE Computer Society, 0-7695-2298-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Monisha Ghosh, Pen Li, Xuemei Ouyang |
Reduced-complexity ML detection for coded MIMO systems using an absolute-value search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP (3) ![In: 2005 IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005, pp. 1025-1028, 2005, IEEE, 0-7803-8874-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Sheng-Yuan Yang, Pen-Chin Liao, Cheng-Seen Ho |
A User-Oriented Query Prediction and Cache Technique for FAQ Proxy Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DMS ![In: Proceedings of the 11th International Conference on Distributed Multimedia Systems, DMS 2005, September 5-7, 2005, Fairmont Banff Springs Hotel, Banff, Alberta, Canada, pp. 411-416, 2005, Knowledge Systems Institute, 1-891706-17-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
22 | Pen-Lun Chang, Shun-Wu Wang, Meng-Ju Lin |
Deformation Analysis of Tunable Fabry-Perot Structure Caused by Thermal Residual Gradient Stresses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMENS ![In: 2005 International Conference on MEMS, NANO, and Smart Systems (ICMENS 2005), 24-27 July 2005, Banff, Alberta, Canada, pp. 61-64, 2005, IEEE Computer Society, 0-7695-2398-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Kep Pen Yan, Yik Yee Tab |
Application of Fluorescence Microscopy for Semiconductor Packaging Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VISION ![In: Proceedings of The 2005 International Conference on Computer Vision, VISION 2005, Las Vegas, Nevada, USA, June 20-23, 2005, pp. 226-231, 2005, CSREA Press, 1-932415-65-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
22 | Shengyue Wang, Xiaoru Dai, Kiran Yellajyosula, Antonia Zhai, Pen-Chung Yew |
Loop Selection for Thread-Level Speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 18th International Workshop, LCPC 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers, pp. 289-303, 2005, Springer, 978-3-540-69329-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Muzhou Shao, Youxin Gao, Li-Pen Yuan, Hung-Ming Chen, Martin D. F. Wong |
Current Calculation on VLSI Signal Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 580-585, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Chung Yew |
Using Speculative Multithreading for General-Purpose Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Third International Symposium, ISPA 2005, Nanjing, China, November 2-5, 2005, Proceedings, pp. 2, 2005, Springer, 3-540-29769-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Jinpyo Kim, Sreekumar V. Kodakara, Wei-Chung Hsu, David J. Lilja, Pen-Chung Yew |
Dynamic Code Region (DCR) Based Program Phase Tracking and Prediction for Dynamic Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, First International Conference, HiPEAC 2005, Barcelona, Spain, November 17-18, 2005, Proceedings, pp. 203-217, 2005, Springer, 3-540-30317-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Jiwei Lu, Howard Chen 0002, Pen-Chung Yew, Wei-Chung Hsu |
Design and Implementation of a Lightweight Dynamic Optimization System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Instr. Level Parallelism ![In: J. Instr. Level Parallelism 6, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
22 | Pen-Chung Yew |
Editor's Note. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(1), pp. 1, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Chung Yew |
Editor's Note. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(3), pp. 193-195, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
TABLE OF CONTENTS |
22 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(3), pp. 247-271, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion |
22 | Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai |
A Compiler Framework for Recovery Code Generation in General Speculative Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins, France, pp. 17-28, 2004, IEEE Computer Society, 0-7695-2229-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Tong Chen 0010, Jin Lin, Xiaoru Dai, Wei-Chung Hsu, Pen-Chung Yew |
Data Dependence Profiling for Speculative Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 13th International Conference, CC 2004, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2004, Barcelona, Spain, March 29 - April 2, 2004, Proceedings, pp. 57-72, 2004, Springer, 3-540-21297-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Tsung Lee, Pen-Ho Yu |
Efficient Representation of Algebraic Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATVA ![In: Automated Technology for Verification and Analysis: Second International Conference, ATVA 2004, Taipei, Taiwan, ROC, October 31-November 3, 2004. Proceedings, pp. 474-478, 2004, Springer, 3-540-23610-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Chung Yew, Jingling Xue (eds.) |
Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, ACSAC 2004, Beijing, China, September 7-9, 2004, Proceedings ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![Springer, 3-540-23003-3 The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Howard Chen 0002, Jiwei Lu, Wei-Chung Hsu, Pen-Chung Yew |
Continuous Adaptive Object-Code Re-optimization Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, ACSAC 2004, Beijing, China, September 7-9, 2004, Proceedings, pp. 241-255, 2004, Springer, 3-540-23003-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Pavel Mautner, Václav Matousek, T. Marsalek, Pen Ondrej Rohlik |
Comparison of ART-2 and SOFM Based Neural Network Verifiers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANNs ![In: Artificial Neural Networks: Data preparation techniques and application development, Proceedings of the 1st International Workshop on Artificial Neural Networks: Data preparation techniques and application development, ANNs 2004, In conjunction with ICINCO 2004, Setúbal, Portugal, August 2004, pp. 43-50, 2004, INSTICC Press, 972-8865-13-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
22 | Jiann-Shu Lee, Ching-Tsorng Tsai, Chen-Hsing Pen, Hui-Chieh Lu |
A real time collaboration system for teleradiology consultation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Medical Informatics ![In: Int. J. Medical Informatics 72(1-3), pp. 73-79, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Chung Yew |
Editor's Note. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 14(6), pp. 529-532, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Lucy Liuxuan Zhang, Ue-Li Pen |
Fast n-point correlation functions and three-point lensing application ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR astro-ph/0305447, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Peiyi Tang, Pen-Chung Yew |
Interprocedural Induction Variable Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Found. Comput. Sci. ![In: Int. J. Found. Comput. Sci. 14(3), pp. 405-423, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen |
The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 180-190, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Linux, Intel |
22 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew |
Speculative Register Promotion Using Advanced Load Address Table (ALAT). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 1st IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2003), 23-26 March 2003, San Francisco, CA, USA, pp. 125-134, 2003, IEEE Computer Society, 0-7695-1913-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Muzhou Shao, D. F. Wong 0001, Youxin Gao, Huijing Cao, Li-Pen Yuan |
A fast and accurate method for interconnect current calculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003, pp. 37-42, 2003, ACM, 0-7803-7660-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Muzhou Shao, Martin D. F. Wong, Huijing Cao, Youxin Gao, Li-Pen Yuan, Li-Da Huang, Seokjin Lee |
Explicit gate delay model for timing evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003, pp. 32-38, 2003, ACM, 1-58113-650-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
pre-characterize, delay model, explicit |
22 | Pen-Chung Yew |
Is There Exploitable Thread-Level Parallelism in General-Purpose Application Programs? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 160, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative analysis and optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation 2003, San Diego, California, USA, June 9-11, 2003, pp. 289-299, 2003, ACM, 1-58113-662-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion |
22 | Pen Ondrej Rohlik, Pavel Mautner, Václav Matousek, Jürgen Kempf |
The New Text and Graphical Input Device: Compact Biometrical Data Acquisition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INTERACT ![In: Human-Computer Interaction INTERACT '03: IFIP TC13 International Conference on Human-Computer Interaction, 1st-5th September 2003, Zurich, Switzerland, 2003, IOS Press, 1-58603-363-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Y. P. Zhou, Pen-Shu Yeh, Warren J. Wiscombe, Si-Chee Tsay |
Cloud context-based onboard data compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGARSS ![In: 2003 IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2003, Toulouse, France, July 21-15, 2003, pp. 3598-3600, 2003, IEEE, 0-7803-7929-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Sheng-Pen Wang |
An inventory replenishment policy for deteriorating items with shortages and partial backlogging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 29(14), pp. 2043-2051, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Sheng-Pen Wang |
On inventory replenishment with non-linear increasing demand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 29(13), pp. 1819-1825, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Sang Jeong Lee, Pen-Chung Yew |
On Augmenting Trace Cache for High-Bandwidth Value Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(9), pp. 1074-1088, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Instruction Level Parallelism, data dependences, Value prediction, trace cache, dynamic classification |
22 | Pen-Chung Yew |
Editorial. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(1), pp. 4, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Shu Lan, Pen Jia Yin |
Fuzzy complete sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Fuzzy Sets Syst. ![In: Fuzzy Sets Syst. 131(3), pp. 393-398, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Wei-Chung Hsu, Howard Chen 0002, Pen-Chung Yew, Dong-yuan Chen |
On the Predictability of Program Behavior Using Different Input Data Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 6th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-6 2002), 3 February 2002, Boston, MA, USA, pp. 45-53, 2002, IEEE Computer Society, 0-7695-1534-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
SPEC2000int, profiles, performance simulation, Itanium, profile-based optimization |
22 | Muzhou Shao, D. F. Wong 0001, Youxin Gao, Li-Pen Yuan, Huijing Cao |
Shaping interconnect for uniform current density. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 254-259, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Tong Chen 0010, Jin Lin, Wei-Chung Hsu, Pen-Chung Yew |
An Empirical Study on the Granularity of Pointer Analysis in C Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 15th Workshop, LCPC 2002, College Park, MD, USA, July 25-27, 2002, Revised Papers, pp. 157-171, 2002, Springer, 3-540-30781-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Peiyi Tang, Pen-Chung Yew |
Interprocedural Induction Variable Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: International Symposium on Parallel Architectures, Algorithms and Networks, ISPAN 2002, May 22-24, 2002, Makati City, Metro Manila, Philippines, pp. 245-250, 2002, IEEE Computer Society, 0-7695-1579-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Tong Chen 0010, Jin Lin, Wei-Chung Hsu, Pen-Chung Yew |
On the Impact of Naming Methods for Heap-Oriented Pointers in C Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: International Symposium on Parallel Architectures, Algorithms and Networks, ISPAN 2002, May 22-24, 2002, Makati City, Metro Manila, Philippines, pp. 251-, 2002, IEEE Computer Society, 0-7695-1579-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
points-to set, heap-oriented pointer, flow-sensitivity and context sensitivity, profiling, instrumentation |
22 | Sheng-Pen Wang |
Shape-preserving computation in economic growth models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 28(7), pp. 637-647, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Sang Jeong Lee, Pen-Chung Yew |
On Table Bandwidth and Its Update Delay for Value Prediction on Wide-Issue ILP Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(8), pp. 847-852, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Decoupled value prediction, prediction value cache, dynamic classification |
22 | Sangyeun Cho, Pen-Chung Yew, Gyungho Lee |
A High-Bandwidth Memory Pipeline for Wide Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(7), pp. 709-723, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Data bandwidth, runtime stack, data stream partitioning, multiported data cache, instruction level parallelism, data locality |
22 | Hock-Beng Lim, Pen-Chung Yew |
Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 61(12), pp. 1775-1802, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Jyhi-Kong Wey, Pen-Kuan Huang, Hui-Chi Chang, Jiu-Yang Liu |
Implementation and management of a mobile phone filtering system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Netw. Manag. ![In: Int. J. Netw. Manag. 10(3), pp. 165-171, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Sheng-Pen Wang, Kenneth L. Judd |
Solving a savings allocation problem by numerical dynamic programming with shape-preserving interpolation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 27(5), pp. 399-408, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Lynn Choi, Pen-Chung Yew |
Compiler Analysis for Cache Coherence: Interprocedural Array Data-Flow Analysis and Its Impact on Cache Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(9), pp. 879-896, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Compiler, shared-memory multiprocessors, data-flow analysis, cache coherence, interprocedural analysis |
22 | Lynn Choi, Pen-Chung Yew |
Hardware and Compiler-Directed Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(4), pp. 375-394, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
performance evaluation, compiler, Computer architecture, shared-memory multiprocessors, cache coherence, memory systems |
22 | Iffat H. Kazi, Davis P. Jose, Badis Ben-Hamida, Christian J. Hescott, Chris Kwok, Joseph A. Konstan, David J. Lilja, Pen-Chung Yew |
JaViz: A client/server Java profiling tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IBM Syst. J. ![In: IBM Syst. J. 39(1), pp. 96-117, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Sang Jeong Lee, Pen-Chung Yew |
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 145-156, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Sang Jeong Lee, Yuan Wang, Pen-Chung Yew |
Decoupled Value Prediction on Trace Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 231-240, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Wide-issue superscalar processors, Trace processors, Speculative execution, Value prediction |
22 | Hock-Beng Lim, Pen-Chung Yew |
Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000, pp. 331-340, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design |
22 | Li-Pen Yuan, Sung-Mo Kang |
Detection and elimination of initial transient for accurate power analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings, pp. 463-466, 2000, IEEE. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Jenn-Yuan Tsai, Zhenzhen Jiang, Pen-Chung Yew |
Compiler Techniques for the Superthreaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 27(1), pp. 1-19, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Jenn-Yuan Tsai, Jian Huang, Christoffer Amlo, David J. Lilja, Pen-Chung Yew |
The Superthreaded Processor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(9), pp. 881-902, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
run-time dependence checking, performance evaluation, compilers, Multithreading, speculation |
22 | Ding-Kai Chen, Pen-Chung Yew |
Redundant Synchronization Elimination for DOACROSS Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(5), pp. 459-470, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
DOACROSS, redundant synchronization elimination, Compiler optimization, data dependence, data synchronization |
22 | Jenn-Yuan Tsai, Pen-Chung Yew |
Enhancing multiple-path speculative execution with predicate window shifting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 45(12-13), pp. 1075-1095, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Sangyeun Cho, Pen-Chung Yew, Gyungho Lee |
Access Region Locality for High-Bandwidth Processor Memory System Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 136-146, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Bess Zheng, Jenn-Yuan Tsai, B. Y. Zhang, Tong Chen 0010, B. Huang, J. H. Li, Y. H. Ding, J. Liang, Y. Zhen, Pen-Chung Yew, Chuan-Qi Zhu |
Designing the Agassiz Compiler for Concurrent Multithreaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 12th International Workshop, LCPC'99, La Jolla/San Diego, CA, USA, August 4-6, 1999, Proceedings, pp. 380-398, 1999, Springer, 3-540-67858-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
|
22 | Siddhartha Chatterjee, Jan F. Prins, Larry Carter, Jeanne Ferrante, Zhiyuan Li 0001, David C. Sehr, Pen-Chung Yew (eds.) |
Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![Springer, 3-540-66426-2 The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Sangyeun Cho, Pen-Chung Yew, Gyungho Lee |
Decoupling Local Variable Accesses in a Wide-Issue Superscalar Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 26th Annual International Symposium on Computer Architecture, ISCA 1999, Atlanta, Georgia, USA, May 2-4, 1999, pp. 100-110, 1999, IEEE Computer Society, 0-7695-0170-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Xiang-Dong Tan, C.-J. Richard Shi, Dragos Lungeanu, Jyh-Chwen Lee, Li-Pen Yuan |
Reliability-Constrained Area Optimization of VLSI Power/Ground Networks via Sequence of Linear Programmings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999., pp. 78-83, 1999, ACM Press. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Li-Pen Yuan |
Power and Voltage Drop Analyses in VLSI Circuits ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1999 |
RDF |
|
22 | Jenn-Yuan Tsai, Zhenzhen Jiang, Zhiyuan Li 0001, David J. Lilja, Xin Wang, Pen-Chung Yew, Bixia Zheng, Stephen J. Schwinn |
Integrating Parallelizing Compilation Technology and Processor Architecture for Cost-Effective Concurrent multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Sci. Eng. ![In: J. Inf. Sci. Eng. 14(1), pp. 205-222, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP BibTeX RDF |
|
22 | Li-Pen Yuan, Chin-Chi Teng, Sung-Mo Kang |
Statistical estimation of average power dissipation using nonparametric techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 6(1), pp. 65-73, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Zhiyuan Li 0001, Pen-Chung Yew |
Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 26(6), pp. 639-640, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Zhiyuan Li 0001, Pen-Chung Yew |
Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 26(5), pp. 539-540, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Yuang Chang, Jong-Chuang Tsay |
An Approach to Designing Modular Extensible Linear Arrays for Regular Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 47(2), pp. 212-216, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
conflict-free mapping, modular extensible, optimal spacetime mapping, regular algorithm, unimodular matrix, VLSI, systolic array, data dependency, linear array, Algorithm transformation |
22 | Hock-Beng Lim, Pen-Chung Yew |
Maintaining Cache Coherence through Compiler-Directed Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 53(2), pp. 144-173, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Jenn-Yuan Tsai, Zhenzhen Jiang, Eric Ness, Pen-Chung Yew |
Performance Study of a Concurrent Multithreaded Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31 - February 4, 1998, pp. 24-35, 1998, IEEE Computer Society, 0-8186-8323-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Hsuan-Jung Su, Pen C. Li, Evaggelos Geraniotis, Diakoumis P. Gerakoulis |
Code tracking loop performance for an orthogonal CDMA uplink SATCOM system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the Third IEEE Symposium on Computers and Communications (ISCC 1998), June 30 - July 2, 1998, Athens, Greece, pp. 29-34, 1998, IEEE Computer Society, 0-8186-8538-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Hock-Beng Lim, Pen-Chung Yew |
An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings, pp. 51-67, 1998, Springer, 3-540-66426-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching |
22 | Zhiyuan Li 0001, Pen-Chung Yew, Siddhartha Chatterjee, Chua-Huang Huang, P. Sadayappan, David C. Sehr (eds.) |
Languages and Compilers for Parallel Computing, 10th International Workshop, LCPC'97, Minneapolis, Minnesota, USA, August 7-9, 1997, Proceedings ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![Springer, 3-540-64472-5 The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Sangyeun Cho, Jenn-Yuan Tsai, Yonghong Song, Bixia Zheng, Stephen J. Schwinn, Xin Wang, Qing Zhao, Zhiyuan Li 0001, David J. Lilja, Pen-Chung Yew |
High-Level Information - An Approach for Integrating Front-End and Back-End Compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1998 International Conference on Parallel Processing (ICPP '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings, pp. 346-355, 1998, IEEE Computer Society, 0-8186-8650-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Alexander V. Veidenbaum, Pen-Chung Yew, David J. Kuck, Constantine D. Polychronopoulos, David A. Padua, Edward S. Davidson, Kyle A. Gallivan |
Retrospective: The Cedar System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
25 Years ISCA: Retrospectives and Reprints ![In: 25 Years of the International Symposia on Computer Architecture (Selected Papers)., pp. 89-91, 1998, ACM, 1-58113-058-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Cedar |
22 | Sarita V. Adve, Doug Burger, Rudolf Eigenmann, Alasdair Rawsthorne, Michael D. Smith 0001, Catherine H. Gebotys, Mahmut T. Kandemir, David J. Lilja, Alok N. Choudhary, Jesse Zhixi Fang, Pen-Chung Yew |
Changing Interaction of Compiler and Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 30(12), pp. 51-58, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | William Tsun-Yuk Hsu, Pen-Chung Yew |
Performance Evaluation of Wire-Limited Hierarchical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 41(2), pp. 156-172, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Hock-Beng Lim, Pen-Chung Yew |
A Compiler-Directed Cache Coherence Scheme Using Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 643-649, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Pen C. Li, Evaggelos Geraniotis |
Performance analysis of synchronous M-PSK CDMA multi-tier systems with a nonlinear amplifier. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the Second IEEE Symposium on Computers and Communications (ISCC 1997), July 1-3, 1997, Alexandria, Egypt, pp. 275-279, 1997, IEEE Computer Society, 0-8186-7852-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Li-Pen Yuan, Sung-Mo Kang |
A sequential procedure for average power analysis of sequential circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997, pp. 231-234, 1997, ACM, 0-89791-903-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Jenn-Yuan Tsai, Zhenzhen Jiang, Pen-Chung Yew |
Program Optimization for Concurrent Multithreaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 10th International Workshop, LCPC'97, Minneapolis, Minnesota, USA, August 7-9, 1997, Proceedings, pp. 146-162, 1997, Springer, 3-540-64472-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Li-Pen Yuan, Chin-Chi Teng, Sung-Mo Kang |
Statistical Estimation of Average Power Dissipation in Sequential Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997., pp. 377-382, 1997, ACM Press, 0-89791-920-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Pavlos Konas, David K. Poulsen, Carl J. Beckmann, John D. Bruner, Pen-Chung Yew |
Chief: A Simulation Environment for Studying Parallel Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Simul. ![In: Int. J. Comput. Simul. 6(1), pp. 89-, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
22 | Lynn Choi, Hock-Beng Lim, Pen-Chung Yew |
Techniques for Compiler-Directed Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Parallel Distributed Technol. Syst. Appl. ![In: IEEE Parallel Distributed Technol. Syst. Appl. 4(4), pp. 23-34, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Ding-Kai Chen, Pen-Chung Yew |
On Effective Execution of Nonuniform DOACROSS Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(5), pp. 463-476, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
scheduling, parallelism, synchronization, data dependence, loop parallelization, Compiler transformation |
22 | David K. Poulsen, Pen-Chung Yew |
Integrating Fine-Grained Message Passing in Cache Coherent Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 33(2), pp. 172-188, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Jong-Chuang Tsay, Pen-Yuang Chang |
Designing Lower-Dimensional Regular Arrays for Algorithms with Uniform Dependencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 33(1), pp. 24-32, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Yuang Chang, Jong-Chuang Tsay |
Timespace Mapping for Regular Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Algorithms Appl. ![In: Parallel Algorithms Appl. 10(1-2), pp. 37-48, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Jenn-Yuan Tsai, Pen-Chung Yew |
The superthreaded architecture: thread pipelining with run-time data dependence checking and control speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996, pp. 35-46, 1996, IEEE Computer Society, 0-8186-7632-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Lynn Choi, Pen-Chung Yew |
Eliminating Stale Data References through Array Data-Flow Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 4-13, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Chung Yew (eds.) |
Proceedings of the 10th international conference on Supercomputing, ICS 1996, Philadelphia, PA, USA, May 25-28, 1996 ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![ACM, 0-89791-803-7 The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Li-Pen Yuan, Chin-Chi Teng, Sung-Mo Kang |
Statistical estimation of average power dissipation in CMOS VLSI circuits using nonparametric techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996, pp. 73-78, 1996, IEEE, 0-7803-3571-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Hock-Beng Lim, Lynn Choi, Pen-Chung Yew |
Compiler Support for Maintaining Cache Coherence Using Data Prefetching (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings, pp. 588-590, 1996, Springer, 3-540-63091-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Zhiyuan Li 0001, Jenn-Yuan Tsai, Xin Wang, Pen-Chung Yew, Bess Zheng |
Compiler Techniques for Concurrent Multithreading with Hardware Speculation Support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings, pp. 175-191, 1996, Springer, 3-540-63091-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Lynn Choi, Pen-Chung Yew |
Program Analysis for Cache Coherence: Beyond Procedural Boundaries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP, Vol. 3 ![In: Proceedings of the 1996 International Conference on Parallel Processing, ICCP 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 3: Software., pp. 103-113, 1996, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | William Tsun-Yuk Hsu, Pen-Chung Yew |
Let Us Build System-Friendly Networks - Build Them Hierarchically. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshop ![In: Proceedings of the 1996 International Conference on Parallel Processing Workshop, ICCPW 1996, Bloomingdale, IL, USA, August 12-16, 1996., pp. 64-73, 1996, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Lynn Choi, Pen-Chung Yew |
Compiler and Hardware Support for Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 283-294, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 2266 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|