The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transients with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1989 (15) 1990-1992 (23) 1993-1995 (25) 1996-1998 (17) 1999 (15) 2000-2001 (36) 2002 (29) 2003 (24) 2004 (22) 2005 (35) 2006 (44) 2007 (46) 2008 (37) 2009 (29) 2010-2011 (27) 2012 (16) 2013 (19) 2014 (18) 2015 (23) 2016 (30) 2017 (23) 2018 (37) 2019 (34) 2020 (35) 2021 (25) 2022 (29) 2023 (24) 2024 (6)
Publication types (Num. hits)
article(352) data(4) incollection(2) inproceedings(380) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 175 keywords

Results
Found 743 publication records. Showing 743 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Jacob Beal, Jonathan Bachrach, Daniel Vickery, Mark M. Tobenkin Fast Self-stabilization for Gradients. Search on Bibsonomy DCOSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Shwetak N. Patel, Erich P. Stuntebeck, Thomas Robertson PL-Tags: Detecting Batteryless Tags through the Power Lines in a Building. Search on Bibsonomy Pervasive The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Power lines, Ubiquitous computing, Pervasive computing, Sensors, Tagging, Hardware, Sensing
12Kenji R. Yamamoto, Paul G. Flikkema Prospector: Multiscale Energy Measurement of Networked Embedded Systems with Wideband Power Signals. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Abhishek A. Sinkar, Nam Sung Kim Analyzing potential power reduction with adaptive voltage positioning optimized for multicore processors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive voltage positioning, multicore processor
12Xin Wang 0007, Norman C. Beaulieu Switching rates of two-branch selection diversity in correlated Rayleigh Doppler fading channels. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Egas Henes Neto, Gilson I. Wirth, Fernanda Lima Kastensmidt Mitigating Soft Errors in SRAM Address Decoders Using Built-in Current Sensors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault-tolerance, Reliability, Testing, Built-in tests, Error-checking
12Dragana Carevic Multitarget Detection and Estimation Based on Passive Multilateral TDOAs of Transient Signals. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jorge Semião, Marcial Jesús Rodríguez-Irago, Juan J. Rodríguez-Andina, Leonardo Bisch Piccoli, Fabian Vargas 0001, Marcelino Bicho Dos Santos, Isabel Maria Cacho Teixeira, João Paulo Teixeira 0001 Signal Integrity Enhancement in Digital Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12David Charlot, Victor Campa, Behrad Azimi, Mark Mercola, Randall Ingermanson, Patrick M. McDonough, Jeffrey H. Price Automated calcium measurements in live cardiomyocytes. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Thomas Leroy, Jonathan Chauvin, Nicolas Petit Controlling air and burned gas masses of turbocharged VVT SI engines. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12François Bateman, Hassan Noura 0002, Mustapha Ouladsine A Fault Tolerant Control strategy for an unmanned aerial vehicle based on a Sequential Quadratic Programming algorithm. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12John D. Hunter, Jianhong Wu, John G. Milton Clustering neural spike trains with transient responses. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Magnus Nilsson, Bo Egardt Adaptive tracking control with application to a flexible transmission system. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Mathieu Hillion, Jonathan Chauvin, Nicolas Petit Open-loop combustion timing control of a Spark-Ignited engine. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Simon Ogg, Bashir M. Al-Hashimi, Alexandre Yakovlev Asynchronous transient resilient links for NoC. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF point to point link, reliability, network-on-chip, asynchronous, transient faults
12Kaushal R. Gandhi, Nihar R. Mahapatra Partitioned reuse cache for energy-efficient soft-error protection of functional units. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Srivathsan Krishnamohan, Nihar R. Mahapatra Slack redistribution in pipelined circuits for enhanced soft-error rate reduction. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Dongchul Kim, Taehoon Kim, Jung-A Lee, Yungseon Eo Experimental Characterisations of Coupled Transmission Lines. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect lines, signal transient, crosstalk, transmission line, s-parameters
12Taehoon Kim, Dongchul Kim, Jung-A Lee, Yungseon Eo Compact Models for Signal Transient and Crosstalk Noise of Coupled RLC Interconnect Lines with Ramp Inputs. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inductance effect, signal transient, crosstalk, transmission lines
12Bruce P. Graham, Christian Stricker 0002 Short Term Plasticity Provides Temporal Filtering at Chemical Synapses. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Synaptic transmission, facilitation, depression, short term plasticity
12Man-Lap Li, Pradeep Ramachandran, Swarup Kumar Sahoo, Sarita V. Adve, Vikram S. Adve, Yuanyuan Zhou Understanding the propagation of hard errors to software and implications for resilient system design. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, permanent fault
12Rajesh Garg, Charu Nagpal, Sunil P. Khatri A fast, analytical estimator for the SEU-induced pulse width in combinational designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF single event upset (SEU), model, analysis
12Genaro Juárez Martínez, Andrew Adamatzky, Harold V. McIntosh On the Representation of Gliders in Rule 54 by De Bruijn and Cycle Diagrams. Search on Bibsonomy ACRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Lester C. Loschky, Gary S. Wolverton How late can you update gaze-contingent multiresolutional displays without detection?. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF blur detection, contrast thresholds, display updates, perceptual compression, saccadic suppression, eye tracking, level-of-detail, bandwidth, visual perception, multiresolution, eye movements, saccades, peripheral vision, foveated, foveation, area of interest, Gaze-contingent
12Jason J. Kutch, Nina L. Suresh, Anthony M. Bloch, William Zev Rymer Analysis of the effects of firing rate and synchronization on spike-triggered averaging of multidirectional motor unit torque. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Spike-triggered averaging, Motor unit, Pulling direction, Firing rate, Synchronization
12Corey D. Acker, John A. White Roles of IA and morphology in action potential propagation in CA1 pyramidal cell dendrites. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Propagation failure, Traveling wave attractor, bAP, Dendritic spike, Backpropagation
12Sobeeh Almukhaizim, Yiorgos Makris Concurrent Error Detection Methods for Asynchronous Burst-Mode Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF asynchronous burst-mode machines, error-detecting codes, Concurrent error detection, Berger code
12Andrzej Czyzewski Speech Coding Employing Intelligent Signal Processing Techniques. Search on Bibsonomy Trans. Rough Sets The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CELP residual coding, hybrid codec architecture, perceptual speech coding, rough set decision algorithm
12Avishag Gordon Transient and continuant authors in a research field: The case of terrorism. Search on Bibsonomy Scientometrics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yiannis Andreopoulos, Mihaela van der Schaar Generalized Phase Shifting for M-Band Discrete Wavelet Packet Transforms. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Smita Krishnaswamy, Igor L. Markov, John P. Hayes Tracking Uncertainty with Probabilistic Logic Circuit Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF probabilistic faults, logic circuit testing, fault-modeling framework, test-vector sensitivity, integer linear programming
12Gerald Tesauro, Nicholas K. Jong, Rajarshi Das, Mohamed N. Bennani On the use of hybrid reinforcement learning for autonomic resource allocation. Search on Bibsonomy Clust. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Policy learning, Resource allocation, Reinforcement learning, Performance management
12Milan Jelinek, Redwan Salami Wideband Speech Coding Advances in VMR-WB Standard. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Samuel Burden, Jonathan E. Clark, Joel Weingarten, Haldun Komsuoglu, Daniel E. Koditschek Heterogeneous Leg Stiffness and Roll in Dynamic Running. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Juan José González de la Rosa, Carlos García Puntonet, Antonio Moreno-Muñoz Power Quality Event Identification Using Higher-Order Statistics and Neural Classifiers. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12V. Subrarnanyam, Dipti Srinivasan, R. Oniganti A Dual layered PSO Algorithm for evolving an Artificial Neural Network controller. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Eduardo Mesquita, Helen Franck, Luciano Volcan Agostini, José Luís Güntzel RIC Fast Adder and its Set Tolerant Implementation in FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Alessandro Bissacco, Stefano Soatto On the Blind Classification of Time Series. Search on Bibsonomy CVPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Sule Ozev, Daniel J. Sorin, Mahmut Yilmaz Low-cost run-time diagnosis of hard delay faults in the functional units of a microprocessor. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ali Davoudi, Juri Jatskevich, Patrick L. Chapman Computer-Aided Average-Value Modeling of Fourth-Order PWM DC-DC Converters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jacek Piskorowski On Problems of Compensated Continuous-Time Chebyshev Filters in the Time Domain. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Zhiyong Li 0006, Weilin Wu Phase Space Reconstruction Based Classification of Power Disturbances Using Support Vector Machines. Search on Bibsonomy PAKDD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Disturbance classification, Support vector machines, Power quality, Phase space reconstruction
12Pradipta Patra, Amit Patra, Debaprasad Kastha On-chip implementation of a multi-output voltage regulator based on single inductor Buck Converter topology. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Thara Rejimon, Sanjukta Bhanja A Timing-Aware Probabilistic Model for Single-Event-Upset Analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mark M. Budnik, Kaushik Roy 0001 A Power Delivery and Decoupling Network Minimizing Ohmic Loss and Supply Voltage Variation in Silicon Nanoscale Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Katherine J. Kuchenbecker, Jonathan Fiene, Günter Niemeyer Improving Contact Realism through Event-Based Haptic Feedback. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF contact transient, Haptics, force feedback, event-based
12Christos Alexopoulos, Sigrún Andradóttir, Nilay Tanik Argon, David Goldsman Replicated batch means variance estimators in the presence of an initial transient. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF independent replications, transient analysis, stochastic simulation, steady-state analysis, variance estimation, Batch means
12Alexandre Bernardino, José Santos-Victor Fast IIR Isotropic 2-D Complex Gabor Filters With Boundary Initialization. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Axel Röbel Adaptive additive modeling with continuous parameter trajectories. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jinfeng Fan, Chenxi Shao, Ouyang Yang, Jian Wang, Shaobin Li, Zicai Wang Automatic Seizure Detection Based on Support Vector Machines with Genetic Algorithms. Search on Bibsonomy SEAL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yingqi Xu, Wang-Chien Lee, Jianliang Xu, Gail Mitchell ProcessingWindow Queries in Wireless Sensor Networks. Search on Bibsonomy ICDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ahmad A. Masoud Kino-Dynamic, Harmonic, Potential-based Motion Planning. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mark M. Budnik, Kaushik Roy 0001 Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mohammad Hosseinabady, Pejman Lotfi-Kamran, Giorgio Di Natale, Stefano Di Carlo, Alfredo Benso, Paolo Prinetto Single-Event Upset Analysis and Protection in High Speed Circuits. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ardeshir Karami Mohammadi A Variable Structure Model Reference Adaptive Controller, For SIMO Systems. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Shilpa Ambarish, Mahmoud Fawzy Wagdy A Wide-Band Digital Phase-Locked Looop. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Wei-Ming Tong, Xue-Lei Song, Dong-Zhong Zhang Recognition and Classification of Power Quality Disturbances Based on Self-adaptive Wavelet Neural Network. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Guillaume Hubert, Antonin Bougerol, Florent Miller, Nadine Buard, Lorena Anghel, Thierry Carrière, Frederic Wrobel, Rémi Gaillard Prediction of Transient Induced by Neutron/Proton in CMOS Combinational Logic Cells. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Rodrigo Possamai Bastos, Fernanda Lima Kastensmidt, Ricardo Reis 0001 Design of a Robust 8-Bit Microprocessor to Soft Errors. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Sajid Baloch, Tughrul Arslan, Adrian Stoica An Efficient Technique for Preventing Single Event Disruptions in Synchronous and Reconfigurable Architectures. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Sajid Baloch, Tughrul Arslan, Adrian Stoica Design of a Single Event Upset (SEU) Mitigation Technique for Programmable Devices. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Seongkyun Shin, Yungseon Eo Non-Physical Pseudo-Wave-Based Modal Decoupling Technique of Multi- Coupled Co-Planar Transmission Lines with Homogeneous Dielectric Media. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Praveen Elakkumanan, Kishan Prasad, Ramalingam Sridhar Time Redundancy Based Scan Flip-Flop Reuse To Reduce SER Of Combinational Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Milde M. S. Lira, Ronaldo R. B. de Aquino, Aida Araujo Ferreira, Manoel A. Carvalho, Carlos Alberto B. de O. Lira Improving Disturbance Classification by Combining Multiple Artificial Neural Networks. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Chih-Wen Lu, Peter H. Xiao A High-Speed Low-Power Rail-to-Rail Buffer Amplifier for LCD Application. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Dawood Shekari Beyragh, Sayed Masoud Sayedi, Hamid Reza Karshenas A Novel Digital Voltage Controller for Single-Phase PFC Rectifiers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Neil Savio D'Souza, Luiz A. C. Lopes, Xuejun Liu Peak Current Control Based Maximum Power Point Trackers for Faster Transient Responses. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Zhongyuan Zhang, Fangcheng Lu, Yutong Chen A High Frequency Circuit Model for Current Transformer Based on the Scattering Parameter. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mustapha Ouladsine, Gérard Bloch, Xavier Dovifaaz Neural modelling and control of a Diesel engine with pollution constraints. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF pollution reduction, neural networks, nonlinear modelling, neural controller, Diesel engine
12Ulrike Dicke, Torsten Dau A Functional Point-Neuron Model Simulating Cochlear Nucleus Ideal Onset Responses. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF point-neuron model, ideal onset unit, cochlear nucleus
12Collins G. Assisi, Viktor K. Jirsa, J. A. Scott Kelso Dynamics of multifrequency coordination using parametric driving: theory and experiment. Search on Bibsonomy Biol. Cybern. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12H. K. Jang, Ju Sung Park Multiresolution sinusoidal model with dynamic segmentation for timescale modification of polyphonic audio signals. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ted Painter, Andreas Spanias Perceptual segmentation and component selection for sinusoidal representations of audio. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Srivathsan Krishnamohan, Nihar R. Mahapatra Combining Error Masking and Error Detection Plus Recovery to Combat Soft Errors in Static CMOS Circuits. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Giacinto Paolo Saggese, Anoop Vetteth, Zbigniew Kalbarczyk, Ravishankar K. Iyer Microprocessor Sensitivity to Failures: Control vs Execution and Combinational vs Sequential Logic. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Witold Kinsner A unified approach to fractal dimensions. Search on Bibsonomy IEEE ICCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mina Guirguis, Azer Bestavros, Ibrahim Matta, Yuting Zhang Reduction of quality (RoQ) attacks on Internet end-systems. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Juan José González de la Rosa, Carlos García Puntonet, Isidro Lloret Galiana, Juan Manuel Górriz Sáez Wavelets and Wavelet Packets Applied to Termite Detection. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Katherine J. Kuchenbecker, Jonathan Fiene, Günter Niemeyer Event-Based Haptics and Acceleration Matching: Portraying and Assessing the Realism of Contact. Search on Bibsonomy WHC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12V. N. Gohokar, V. V. Gohokar Fault location in automated distribution network. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Nicolas Renaud How to Cope with SEU/SET at Chip Level? The Example of a Microprocessor Family. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Lorena Anghel, Régis Leveugle, Pierre Vanhauwaert Evaluation of SET and SEU Effects at Multiple Abstraction Levels. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Srivathsan Krishnamohan, Nihar R. Mahapatra Analysis and design of soft-error hardened latches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple-upset, single-event, soft errors, single-event upset, latch, radiation hardening
12Jean Rouat, Stéphane Loiselle, Ramin Pichevar Towards Neurocomputational Speech and Sound Processing. Search on Bibsonomy WNSP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Auditory modelling, Amplitude Modulation, Cochlear Nucleus, Corrupted Speech Processing, Rank Order Coding, Speech recognition, Spiking Neurons, Temporal Correlation, Source separation, Auditory Scene Analysis
12Dirceu Cavendish, Mario Gerla, Saverio Mascolo A control theoretical approach to congestion control in packet networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF quality of service (QoS), stability analysis, transient analysis, packet networks
12Qing Zhao 0003, Zhihan Xu Design of a novel knowledge-based fault detection and isolation scheme. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim A decoupling technique for efficient timing analysis of VLSI interconnects with dynamic circuit switching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Julio Guillermo Zola Simple model of metal oxide varistor for Pspice Simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Christopher J. Rozell, Don H. Johnson, Raymon M. Glantz Measuring information transfer in the spike generator of crayfish sustaining fibers. Search on Bibsonomy Biol. Cybern. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rémy Boyer, Karim Abed-Meraim Audio modeling based on delayed sinusoids. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Vincent Padois, Jean-Yves Fourquet, Pascale Chiron, Marc Renaud On Contact Transition for Nonholonomic Mobile Manipulators. Search on Bibsonomy ISER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Srivathsan Krishnamohan, Nihar R. Mahapatra A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Daniel Barros Jr., Fabian Vargas 0001, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Modeling and Simulation of Time Domain Faults in Digital Systems. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Experimental evaluation of error-detection mechanisms. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Arnab Bose, Petros A. Ioannou Analysis of traffic flow with mixed manual and semiautomated vehicles. Search on Bibsonomy IEEE Trans. Intell. Transp. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Gytis Svirskis, Ramana Dodla, John Rinzel Subthreshold outward currents enhance temporal integration in auditory neurons. Search on Bibsonomy Biol. Cybern. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12J. G. (Iain) Wallace, Kevin Bluff Numerosity and the Consolidation of Episodic Memory. Search on Bibsonomy IWANN (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ioulia Makarova, José Manuel Ibarz, L. López-Aguado, Oscar Herreras Selective Inactivation of Neuronal Dendritic Domains: Computational Approach to Steady Potential Gradients. Search on Bibsonomy IWANN (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Matteo Sonza Reorda, Massimo Violante Emulation-Based Analysis of Soft Errors in Deep Sub-micron Circuits. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12L. Felipe Perrone, Yougu Yuan, David M. Nicol Simulation of large scale networks II: modeling and simulation best practices for wireless ad hoc networks. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 743 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license