The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for yield with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1976 (17) 1977-1981 (16) 1982-1984 (19) 1985-1986 (38) 1987 (22) 1988 (35) 1989 (53) 1990 (59) 1991 (39) 1992 (43) 1993 (72) 1994 (60) 1995 (88) 1996 (94) 1997 (118) 1998 (123) 1999 (173) 2000 (222) 2001 (236) 2002 (305) 2003 (348) 2004 (490) 2005 (595) 2006 (754) 2007 (815) 2008 (797) 2009 (499) 2010 (185) 2011 (123) 2012 (117) 2013 (95) 2014 (117) 2015 (128) 2016 (130) 2017 (132) 2018 (148) 2019 (171) 2020 (244) 2021 (235) 2022 (264) 2023 (286) 2024 (64)
Publication types (Num. hits)
article(3127) book(2) data(1) incollection(27) inproceedings(5401) phdthesis(28)
Venues (Conferences, Journals, ...)
Remote. Sens.(274) IEEE Trans. Comput. Aided Des....(196) Comput. Electron. Agric.(167) CoRR(146) DAC(141) ISQED(139) DFT(114) IGARSS(113) ITC(112) DATE(101) ICCAD(101) IEEE Trans. Very Large Scale I...(99) ASP-DAC(77) VTS(76) IEEE Trans. Image Process.(65) Sensors(63) More (+10 of total 1832)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6138 occurrences of 3479 keywords

Results
Found 8586 publication records. Showing 8586 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Diana Marculescu, Siddharth Garg Process-Driven Variability Analysis of Single and Multiple Voltage-Frequency Island Latency-Constrained Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy 0001 Reduction of Parametric Failures in Sub-100-nm SRAM Array Using Body Bias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Shuhei Miyashita, Max Kessler, Marco Lungarella How morphology affects self-assembly in a stochastic modular robot. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Phiroze N. Parakh, Shankar Krishnamoorthy A robust approach to lithography friendly design implementation. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Feng Wang 0004, Yuan Xie 0001 Embedded Multi-Processor System-on-chip (MPSoC) design considering process variations. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Rehman Ashraf, Malgorzata Chrzanowska-Jeske, Siva G. Narendra Carbon nanotube circuit design choices in the presence of metallic tubes. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yibo Chen, Jin Ouyang, Yuan Xie 0001 ILP-based scheme for timing variation-aware scheduling and resource binding. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Lun-Chun Wei, Hung-Ming Chen, Li-Da Huang, Sarah Songjie Xu Efficient and optimal post-layout double-cut via insertion by network relaxation and min-cost maximum flow. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF redundant via insertion, network flow, relaxation
12Fadi J. Kurdahi, Nikil D. Dutt, Ahmed M. Eltawil, Sani R. Nassif Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yan Lin 0001, Lei He 0001 Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, uncertainty, process variation, stochastic, physical synthesis
12Yan Lin 0001, Lei He 0001 Interactive presentation: Statistical dual-Vdd assignment for FPGA interconnect power reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Vishal Khandelwal, Ankur Srivastava 0001 Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-silicon tunability, variability, stochastic optimization, timing optimization, gate sizing
12Vishal Khandelwal, Ankur Srivastava 0001 Monte-Carlo driven stochastic optimization framework for handling fabrication variability. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 Low-power process-variation tolerant arithmetic units using input-based elastic clocking. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elastic clocking, process tolerant, low power
12Esa Hyytiä, Jorma T. Virtamo On optimality of single-path routes in massively dense wireless multi-hop networks. Search on Bibsonomy MSWiM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF single-path, load balancing, multi-path, wireless multi-hop network
12Michael Nicolaidis GRAAL: A Fault-Tolerant Architecture for Enabling Nanometric Technologies. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Xinjie Wei, Yici Cai, Xianlong Hong Physical aware clock skew rescheduling. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF skew rescheduling, process variations, clock skew
12Venkataraman Mahalingam, N. Ranganathan Variation Aware Timing Based Placement Using Fuzzy Programming. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Sudarshan Bahukudumbi, Krishnendu Chakrabarty Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ashish Dobhal, Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing with Provabale Convergence. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Oded Goldreich 0001, Madhu Sudan 0001 Locally testable codes and PCPs of almost-linear length. Search on Bibsonomy J. ACM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF error-correcting codes, derandomization, probabilistically checkable proofs, Proof verification
12Mehdi Baradaran Tahoori Application-independent defect tolerance of reconfigurable nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
12Frédéric Blanqui, Jean-Pierre Jouannaud, Albert Rubio Higher-Order Termination: From Kruskal to Computability. Search on Bibsonomy LPAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hai Li 0001, Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hailong Yao, Subarna Sinha, Charles C. Chiang, Xianlong Hong, Yici Cai Efficient process-hotspot detection using range pattern matching. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Diana Marculescu, Siddharth Garg System-level process-driven variability analysis for single and multiple voltage-frequency island systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage-frequency islands, variability
12Vaibhav Nawale, Thomas W. Chen Optimal useful clock skew scheduling in the presence of variations using robust ILP formulations. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yantao Zheng, Lingyu Duan, Qi Tian 0002, Jesse S. Jin TV Commercial Classification by using Multi-Modal Textual Information. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Reliability Analysis of Self-Repairable MEMS Accelerometer. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jay Jahangiri, David Abercrombie Meeting Nanometer DPM Requirements Through DFT. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Vishak Venkatraman, Wayne P. Burleson Impact of Process Variations on Multi-Level Signaling for On-Chip Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sang-Woon Kim, B. John Oommen Enhancing prototype reduction schemes with recursion: a method applicable for "large" data sets. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Li Zhao Is sparse and distributed the coding goal of simple cells? Search on Bibsonomy Biol. Cybern. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alessandra Nardi, Alberto L. Sangiovanni-Vincentelli Synthesis for Manufacturability: A Sanity Check. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Shuji Tsukiyama Toward stochastic design for digital circuits: statistical static timing analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Xu Xu 0001, Alexander Zelikovsky Multi-project reticle floorplanning and wafer dicing. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multi-project wafers, reticle design, wafer dicing
12Said Hamdioui, Georgi Gaydadjiev, Ad J. van de Goor The State-of-Art and Future Trends in Testing Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Carolina Selva, Cosimo Torelli, Danilo Rimondi, Rita Zappa, Stefano Corbani, Giovanni Mastrodomenico, Lara Albani A Programmable Built-in Self-Diagnosis for Embedded SRAM. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tao Feng, Byoungjae Jin, J. Wang, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi Fault tolerant clockless wave pipeline design. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless wave pipeline, inter-wave fault, intra-wave fault, fault tolerance, reliability
12Yukio Okuda Panel Synopsis - Diagnosis Meets Physical Failure Analysis: How Long Can We Succeed? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Shyue-Kung Lu A Novel Built-In Self-Repair Approach for Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF divided word line, fault tolerance, redundancy, low power design, embedded memory
12Puneet Gupta 0001, Andrew B. Kahng Manufacturing-Aware Physical Design. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Fadi A. Aloul, Igor L. Markov, Karem A. Sakallah Shatter: efficient symmetry-breaking for boolean satisfiability. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clause learning, logic simplification, routing, symmetries, SAT, CNF, backtrack search, graph automorphism
12Oded Goldreich 0001, Madhu Sudan 0001 Locally Testable Codes and PCPs of Almost-Linear Length. Search on Bibsonomy FOCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Pedram Khademsameni, Marek Syrzycki Manufacturability Analysis of Analog CMOS ICs through Examination of Multiple Layout Solutions. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Sang-Woon Kim, B. John Oommen Recursive Prototype Reduction Schemes Applicable for Large Data Sets. Search on Bibsonomy SSPR/SPR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Marco Bernardo 0001, Mario Bravetti Reward Based Congruences: Can We Aggregate More? Search on Bibsonomy PAPM-PROBMIV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Minsu Choi, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi Connectivity-Based Multichip Module Repair. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Stefan D. Wilke Neural Coding of Dynamic Stimuli. Search on Bibsonomy ICANN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12John Kibarian Ramping New IC Products in the Deep Submicron Age. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Tzvetan Ostromsky, Ivan Dimov 0001, Ilia Tzvetanov, Zahari Zlatev Estimation of the Wheat Losses Caused by the Tropospheric Ozone in Bulgaria and Denmark. Search on Bibsonomy NAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12N. S. Nagaraj, Andrzej J. Strojwas, Sani R. Nassif, Ray Hokinson, Tak Young, Wonjae L. Kang, David Overhauser, Sung-Mo Kang When bad things happen to good chips (panel session). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Tuna B. Tarim, H. Hakan Kuntman, Mohammed Ismail 0001 Robust Design of Basic Low Voltage CMOS Transconductors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Glenn H. Chapman, Yves Audet Creating 35 mm Camera Active Pixel Sensors. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12T. M. Mak, Debika Bhattacharya, Cheryl Prunty, Bob Roeder, Nermine Ramadan, F. Joel Ferguson, Jianlin Yu Cache RAM inductive fault analysis with fab defect modeling. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Ron Bourassa, Tim Coffman, Joe Brewer Ultra large scale static rams. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Shambhu J. Upadhyaya, John A. Thodiyil BIST PLAs, Pass or Fail - A Case Study. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Mustafa A. Kamal Intelligent systems for statistical process control in steel (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Alan J. Demers Generalized Left Corner Parsing. Search on Bibsonomy POPL The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
11Qingkai Ji, Feng Liu 0020, Jun Zhuang 0001 Procurement Contract Design Under Asymmetric Information of Random Yield. Search on Bibsonomy Asia Pac. J. Oper. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Jing Nie, Jiachen Jiang, Yang Li 0111, Jingbin Li, Yujie Qiao, Sezai Ercisli UAVEC-FLchain: Distributed multi-regional jujube orchard joint yield estimation for secure agricultural-IoT applications. Search on Bibsonomy Internet Things The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11K. P. N. S. Dayarathne, U. Thayasiwam Utility of Smoothing Techniques in Yield Curve Modeling for the Asian Pacific Frontier Capital Market. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Rakesh Mohan Datt, Vinay Kukreja Neural Network Model for Predicting Apple Yield Based on Arrival of Phenological Stage in Conjunction with Leaf disease, Soil and Weather Parameters. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Guilong Xiao, Xueyou Zhang, Quandi Niu, Xingang Li, Xuecao Li, Liheng Zhong, Jianxi Huang Winter wheat yield estimation at the field scale using sentinel-2 data and deep learning. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Hongchun Qu, Chaofang Zheng, Hao Ji, Kallol Barai, Yong-Jiang Zhang A fast and efficient approach to estimate wild blueberry yield using machine learning with drone photography: Flight altitude, sampling method and model effects. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Shuaipeng Fei, Shunfu Xiao, Jinyu Zhu, Yonggui Xiao, Yuntao Ma Dual sampling linear regression ensemble to predict wheat yield across growing seasons with hyperspectral sensing. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Kamran Forghani, Mats Carlsson, Pierre Flener, Magnus Fredriksson, Justin Pearson, Di Yuan Maximizing value yield in wood industry through flexible sawing and product grading based on wane and log shape. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Hamid Kamangir, Brent S. Sams, Nick Dokoozlian, Luis Sanchez 0001, J. Mason Earles Large-scale spatio-temporal yield estimation via deep learning using satellite and management data fusion in vineyards. Search on Bibsonomy Comput. Electron. Agric. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yangfeng Zou, Giri Raj Kattel, Lijuan Miao Enhancing Maize Yield Simulations in Regional China Using Machine Learning and Multi-Data Resources. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Patrick Killeen, Iluju Kiringa, Tet Hin Yeap, Paula Branco Corn Grain Yield Prediction Using UAV-Based High Spatiotemporal Resolution Imagery, Machine Learning, and Spatial Cross-Validation. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ilina Kamenova, Milen Chanev, Petar Dimitrov, Lachezar Filchev, Bogdan Bonchev, Liang Zhu, Qinghan Dong Crop Type Mapping and Winter Wheat Yield Prediction Utilizing Sentinel-2: A Case Study from Upper Thracian Lowland, Bulgaria. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Jiang Chen, Tong Yu, Jerome H. Cherney, Zhou Zhang 0001 Optimal Integration of Optical and SAR Data for Improving Alfalfa Yield and Quality Traits Prediction: New Insights into Satellite-Based Forage Crop Monitoring. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Feng Yu, Ming Wang, Jun Xiao 0005, Qian Zhang, Jinmeng Zhang, Xin Liu, Yang Ping, Ru-peng Luan Advancements in Utilizing Image-Analysis Technology for Crop-Yield Estimation. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Rana Ahmad Faraz Ishaq, Guanhua Zhou, Chen Tian 0012, Yumin Tan, Guifei Jing, Hongzhi Jiang, Obaid Ur Rehman A Systematic Review of Radiative Transfer Models for Crop Yield Prediction and Crop Traits Retrieval. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Nildson Rodrigues de França e Silva, Michel Eustáquio Dantas Chaves, Ana Cláudia dos Santos Luciano, Ieda Del'Arco Sanches, Cláudia Maria de Almeida, Marcos Adami Sugarcane Yield Estimation Using Satellite Remote Sensing Data in Empirical or Mechanistic Modeling: A Systematic Review. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yanxi Zhao, Jiaoyang He, Xia Yao, Tao Cheng 0003, Yan Zhu 0005, Weixing Cao, Yongchao Tian Wheat Yield Robust Prediction in the Huang-Huai-Hai Plain by Coupling Multi-Source Data with Ensemble Model under Different Irrigation and Extreme Weather Events. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Muhammad Ashfaq, Imran Khan 0004, Abdulrahman Alzahrani, Muhammad Usman Tariq, Humera Khan, Anwar Ghani Accurate Wheat Yield Prediction Using Machine Learning and Climate-NDVI Data Fusion. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ioannis K. Bazionis, Markos A. Kousounadis-Knousen, Vasileios E. Katsigiannis, Francky Catthoor, Pavlos S. Georgilakis An Advanced Hybrid Boot-LSTM-ICSO-PP Approach for Day-Ahead Probabilistic PV Power Yield Forecasting and Intra-Hour Power Fluctuation Estimation. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Ali Mohammad Hayajneh, Feras Alasali, Abdelaziz Salama, William Holderbaum Intelligent Solar Forecasts: Modern Machine Learning Models and TinyML Role for Improved Solar Energy Yield Predictions. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Priti Prakash Jorvekar, Sharmila Kishor Wagh, Jayashree Rajesh Prasad Crop yield predictive modeling using optimized deep convolutional neural network: An automated crop management system. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Venkata Rama Rao Kolipaka, Anupama Namburu An automatic crop yield prediction framework designed with two-stage classifiers: a meta-heuristic approach. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11P. S. S. Gopi, M. Karthikeyan Red fox optimization with ensemble recurrent neural network for crop recommendation and yield prediction model. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11B. Sunitha Devi, N. Sandhya, K. Shahu Chatrapati Hybrid deep WaveNet-LSTM architecture for crop yield prediction. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11M. Vamsi Krishna 0001, K. Swaroopa, G. SwarnaLatha, V. Yasaswani Crop yield prediction in India based on mayfly optimization empowered attention-bi-directional long short-term memory (LSTM). Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Nan Zhang, Kaiquan Cai, Yingjun Deng, Jun Zhang Joint optimization of condition-based maintenance and condition-based production of a single equipment considering random yield and maintenance delay. Search on Bibsonomy Reliab. Eng. Syst. Saf. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11You-Shyang Chen, Ying-Hsun Hung, Mike Yau-Jung Lee, Chien-Jung Lai, Jieh-Ren Chang, Chih-Yao Chien Identification of the Yield Rate by a Hybrid Fuzzy Control PID-Based Four-Stage Model: A Case Study of Optical Filter Industry. Search on Bibsonomy Axioms The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yen-Jen Chang, Ming-Hsin Lai, Chien-Ho Wang, Yu-Shun Huang, Jason Lin Target-Aware Yield Prediction (TAYP) Model Used to Improve Agriculture Crop Productivity. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Hua Guo, Shengxiang Deng, Jingbiao Yang Intelligent control strategy for industrial furnaces based on yield classification prediction using a gray relative correlation-convolutional neural network-multilayer perceptron (GCM) machine learning model. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Nabil El Farme Optimal skip-lot sampling plan based on the yield index for auto-correlated data. Search on Bibsonomy Commun. Stat. Simul. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mark O. Neisser, Ndubuisi G. Orji, Harry J. Levinson, Umberto Celano, James R. Moyne, Supika Mashiro, Dan Wilcox, Slava Libman How Lithography and Metrology Are Enabling Yield in the Next Generation of Semiconductor Patterning. Search on Bibsonomy Computer The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11 Retraction notice to "An Improved Multilayer Perceptron Approach for Detecting Sugarcane Yield Production in IoT based Smart Agriculture" [Microprocessors and Microsystems 82 (2021) 103822]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yogesh Bansal, David Lillis, M. Tahar Kechadi Correction to: A neural meta-model for predicting winter wheat crop yield. Search on Bibsonomy Mach. Learn. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Muhammad Hanif Tunio, Jian Ping Li, Xiaoyang Zeng, Faijan Akhtar, Syed Attique Shah, Awais Ahmed, Yu Yang, Md Belal Bin Heyat Meta-knowledge guided Bayesian optimization framework for robust crop yield estimation. Search on Bibsonomy J. King Saud Univ. Comput. Inf. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Biniam Sisheber, Michael T. Marshall, Daniel Mengistu, Andrew Nelson 0003 Assimilation of Earth Observation Data for Crop Yield Estimation in Smallholder Agricultural Systems. Search on Bibsonomy IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Nanlin Guo, Fulin Peng, Jiahe Shi, Fan Yang 0001, Jun Tao 0001, Xuan Zeng 0001 Yield Optimization for Analog Circuits over Multiple Corners via Bayesian Neural Networks: Enhancing Circuit Reliability under Environmental Variation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Francisco Alejandro Mena, Deepak Pathak, Hiba Najjar, Cristhian Sanchez, Patrick Helber, Benjamin Bischke, Peter Habelitz, Miro Miranda, Jayanth Siddamsetty, Marlon Nuske, Marcela Charfuelan, Diego Arenas, Michaela Vollmer, Andreas Dengel 0001 Adaptive Fusion of Multi-view Remote Sensing data for Optimal Sub-field Crop Yield Prediction. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Alvin Inderka, Florian Huber, Volker Steinhage On Convolutional Vision Transformers for Yield Prediction. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Forkan Uddin Ahmed, Annesha Das, Md. Zubair A Machine Learning Approach for Crop Yield and Disease Prediction Integrating Soil Nutrition and Weather Factors. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 8586 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license